Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Rozměr: px
Začít zobrazení ze stránky:

Download "Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student"

Transkript

1 Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na mechanických kontaktech. Návrh obvodu pro odstranění zákmitů na tlačítkách a ověření jeho funkce. Použití obvodu pro odstranění zákmitů na tlačítkách v komplexním návrhu. Implementace návrhu do cílového obvodu FPGA Spartan3-2k na vývojové desce Spartan-3 Starter Board. Teoretický úvod Tlačítka a přepínače jsou v digitálních obvodech často používanými ovládacími prvky. Změna logické úrovně při stisku tlačítka nebo přepnutí přepínače nemá ideální průběh. Jejich mechanické kontakty generují množství rušivých zákmitů, které mohou způsobit špatné chování navazujících logických obvodů. oba trvání zákmitů je ovlyvněna kvalitou provedení kontaktů, používaním a stárnutím. Ukázka zákmitů změřených na tlačítkách a přepínačích osazených na vývojové desce Spartan-3 Starter Board je na obrázku 1. oba trvání a zákmitů jejich počet se řádově liší (1x), což je patrné ze změřených průbehů a časových os. Tlačítko trvání zákmitů ~8ns Přepínač trvání zákmitů ~1ms 1us 1ms Obr. 1: Průběh zákmitů na tlačítkách a přepínačích osazených na vývojové desce

2 Vstup z tlačítka nebo přepínače není synchronní vůči žadnému hodinovému signálu. Pro odstranění zákmitů a synchronizaci těchto vstupů se používají obvody anglicky nazývané debouncer. Tyto obvody mohou být implementovány analogově jako filtr s charakteristikou dolní propusti, nebo digitálně. Při použití analogového filtračního obvodu zůstane průběh asynchronní vůči hodinovému signálu a v digitálním obvodu musí být synchronizován. Navíc je v tomto případě nutné použít digitální vstupy s hysterezí(schmittův kolpný obvod). igitálně je možné zákmity jednoduše odstranit a vstupy synchronizovat například s použitím některého z následujících obvodů: Klopný obvod RS Posuvný registr Stavový automat Čítač S odstraněním zákmitů pomocí čítačů resp. počítáním impulzů je možné se setkat i v mikrokontrolérech. Při implementaci v obvodech FPGA je vhodnější využít posuvný registr a vyhodnocovací logiku. Zákmity mechanických kontaktů mají náhodný průběh trvají obvykle desítky nanosekund až deset milisekund. oporučená doba vyhodnocování zákmitů je 1-15 ms. Kratší doba vyhodnocení může způsobit, že se zákmity přenesou dál do obvodu. Zbytečně dlouhá doba vyhodnocení, typicky nad 2 ms, může snížit ergonomii a komfort ovládání a nevede k dalšímu zlepšení kvality. Ukázka vhodného vzorkování vstupu pro odstranění zákmitů jen na obrázku 2. Po načtení 4x log. 1 je na u obvodu log. 1 reprezentující stisknuté tlačítko, jinak je na u log.. stisk tlačítka trvání zákmitů ~2ms vyhodnocení stisknutí tlačítka po skončení zákmitů 1ms vzorkování vyhodnocení Obr. 2: Ukázka vhodného vzorkování vstupu z tlačítka Obvody pro odstranění zákmitů obvykle obsahuje i bloky pro detekci náběžné nebo sestupné hrany, které indikují stisknutí nebo uvolnění tlačítka a generují signál pro povolení hodinového signálu (clock enable). Návrh obvodu může probíhat klasickou metodikou ručního návrhu na hradlové úrovni nebo s využitím stavových automatů. Návrh s využitím stavových automatů umožňuje zvýšít úroveň abstrakce a zjednodušuje případné rozšíření nebo modifikaci obvodu. Ukázka návrhu obvodu pro odstranění zákmitů a generování povolovacího signálu clock enable je na obrázku 3 a obrázku 4. Na obrázku 3 je návrh na hradlové úrovni, ekvivalentní obvod popsán pomocí stavového automatu je na obrázku 4. Oba obvody využívají děličku s em.

3 detekce náběžné hrany 1 vstup dělička odstranění zákmitů Obr. 3: Návrh obvodu pro odstranění zákmitů na hradlové úrovni S1 start S = = = = S2 = = S5 S3 = 1 = S4 = 1 = 1 = = Obr. 4: Návrh obvodu pro odstranění zákmitů s využitím stavového automatu

4 Na obrázku 5 je ukázka průběhu vstupních a ních signálu obvodu pro odstranění zákmitů. Pro zjednodušení byla zvolena hlavní hodinová 4 Hz a signál _en s frekvencí 1 Hz. vzorkování 1ms _en stav S S1 S S1 S2 S3 S4 S5 S zákmity při stisku tlačítka ~15ms zákmity při uvolnění tlačítka ~15ms Obr. 5: Vstupní a ní průběhy signálů stavového automatu pro odstranění zákmitů

5 Vypracování laboratorní úlohy Úkol č. 1 (1,5 bodu) Navrhněte stavový automat pro odstranění zákmitů na tlačítku dle obrázku 4. Jeho funkci ověřte připojením 8-bitového binárního čítače se vstupem pro povolení čítání. Výstup čítače připojte na LE. Při stisknutí tlačítka nebo přepnutí přepínače bude čítač inkrementovat o 1. Funkci obvodu ověřte ve vývojové desce s připojením tlačítka i přepínače! Použijte strukturální styl popisu! Blokové schéma obvodu: V CC ělička 1ms vstup ebouncer Čítač 8 divider.vhd debouncer.vhd counter.vhd top.vhd Úkol č. 2 (1,5 bodu) S využitím bloků z předchozího úkolu navrhněte obvod pro měření doby stisknutí tlačítka. ěličku rozšiře o další generující signál _2 s periodou 1ms. Čítač rozšiřte o vstup reset, který připojíte k u obvodu pro odstranění zákmitů, tím bude zaručeno vynulování čítače při stisknutí tlačítka. Vstup čítače připojte dle schématu přes hradlo AN k u obvodu pro odstranění zákmitů a signálu _2 děličky. Po uvolnění tlačítka bude možné na LE odečíst dobu stistknutí tlačítka v binárním kódu v desetinách sekundy. Funkci obvodu ověřte ve vývojové desce. Použijte strukturální styl popisu! Určete mimální rozlišení měřeného času: a maximální měřitelný interval: Blokové schéma obvodu: V CC ělička _1 _2 1ms 1ms vstup ebouncer reset Čítač divider.vhd debouncer.vhd counter.vhd 8 top.vhd Napište jakým způsobem by bylo potřebné upravit obvod tak, aby bylo možné při stejném rozlišení měřeného času měřit maximální interval 256 sekund:

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

OVLÁDÁNÍ PÁSOVÉ DOPRAVY

OVLÁDÁNÍ PÁSOVÉ DOPRAVY Katedra obecné elektrotechniky Fakulta elektrotechniky a informatiky, VŠB - TU Ostrava OVLÁDÁNÍ PÁSOVÉ DOPRAVY Návod do měření Ing. Václav Kolář Ph.D. listopad 2006 Cíl měření: Praktické ověření kontaktního

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 5. Student. Řešení komplexního úkolu kombinační logikou Chemická nádrž

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 5. Student. Řešení komplexního úkolu kombinační logikou Chemická nádrž Předmět Ústav Úloha č. 5 BDIO - Digitální obvody Ústav mikroelektroniky Řešení komplexního úkolu kombinační logikou Chemická nádrž Student Cíle Vyřešení slovného zadání úkolu. Karnaughovy mapy, minimalizace

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

11. Logické analyzátory. 12. Metodika měření s logickým analyzátorem

11. Logické analyzátory. 12. Metodika měření s logickým analyzátorem +P12 11. Logické analyzátory Základní srovnání logického analyzátoru a číslicového osciloskopu Logický analyzátor blokové schéma, princip funkce Časová analýza, glitch mód a transitional timing, chyba

Více

2-LC: ČÍSLICOVÉ OBVODY

2-LC: ČÍSLICOVÉ OBVODY 2-LC: ČÍSLICOVÉ OBVODY Cíl měření: Ověření základních vlastností číslicových integrovaných obvodů. 1) čítač (asynchronní, synchronní) 2) multiplexer a demultiplexer 3) mikroprocesor ( S 2441, str. 155)

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Pneumatické řídicí prvky

Pneumatické řídicí prvky Pneumatické řídicí prvky 6.0 blok dvouručního ovládání kompaktní systém M5 2006/10 změny vyhrazeny výrobky 2007 4/6.0-1 hlavní údaje Quickstepper Commander pneumaticko-mechanický krokovací automat s 12

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO 1 4. Generátory obdélníkového signálu a MKO 1 Zadání 1. Sestavte generátor s derivačními články a hradly NAND s uvedenými hodnotami rezistorů a kapacitorů. Zobrazte časové průběhy v důležitých uzlech.

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

1. Univerzální watchdog WDT-U2

1. Univerzální watchdog WDT-U2 1. Univerzální watchdog WDT-U2 Parametry: vstupní svorkovnice - napájení 9-16V DC nebo 7-12V AC externí galvanicky oddělený ovládací vstup napěťový od 2V nebo beznapěťový výstupní svorkovnice - kontakty

Více

k DUM 20. pdf ze šablony 1_šablona_automatizační_technika_I 01 tematický okruh sady: logické obvody

k DUM 20. pdf ze šablony 1_šablona_automatizační_technika_I 01 tematický okruh sady: logické obvody METODICKÝ LIST k DUM 20. pdf ze šablony 1_šablona_automatizační_technika_I 01 tematický okruh sady: logické obvody Téma DUM: sekvenční logický obvod test Anotace: Digitální učební materiál DUM - slouží

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

BI-JPO (Jednotky počítače) Cvičení

BI-JPO (Jednotky počítače) Cvičení BI-JPO (Jednotky počítače) Cvičení Ing. Pavel Kubalík, Ph.D., 2010 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha & EU: Investujeme

Více

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu 5. Obvody pro číslicové zpracování signálů 1 Číslicový systém počítač v reálném prostředí Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu Binární data

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

2.8 Kodéry a Rekodéry

2.8 Kodéry a Rekodéry 2.8 Kodéry a Rekodéry 2.8.1 Úkol měření 1. Navrhněte a realizujte rekodér z kódu BCD na kód 2421 a ověřte jeho funkčnost 2. Navrhněte a realizujte rekodér z kódu 2421 na kód BCD a ověřte jeho funkčnost

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu.

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu. 1 Digitální zdroje Cílem cvičení je osvojení práce s digitálními zdroji signálu. Cíle cvičení Převod digitálních úrovní na analogový signál Digitální zdroj signálu a BCD dekodér Čítač impulsů Dělička frekvence

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

2 Ovládání osvětlení pomocí impulzního a časového relé

2 Ovládání osvětlení pomocí impulzního a časového relé Cíl úlohy: 2 Ovládání osvětlení pomocí impulzního a časového relé Cílem laboratorní úlohy je seznámit studenty s ovládáním umělého osvětlení pomocí impulzního relé. Studenti v laboratorní úloze budou ovládat

Více

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 Programovatelná řídící jednotka REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 1 Obsah: 1. Obecný popis... 3 1.1 Popis programu... 3 1.2 Vstupní vyhodnocované hodnoty... 3

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

1 Smíšené digitálně-analogové simulace

1 Smíšené digitálně-analogové simulace 1 Smíšené digitálně-analogové simulace Cílem cvičení je osvojení práce s analogově-digitálními obvody a komplexní realizací modelu součástky na základě blokového schématu. Cíle cvičení Integrující AD převodník

Více

Použití programovatelného čítače 8253

Použití programovatelného čítače 8253 Použití programovatelného čítače 8253 Zadání 1) Připojte obvod programovatelný čítač- časovač 8253 k mikropočítači 89C52. Pro čtení bude obvod mapován do prostoru vnější programové (CODE) i datové (XDATA)

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Metody návrhů řešení elektropneumatických úloh

Metody návrhů řešení elektropneumatických úloh Metody návrhů řešení elektropneumatických úloh Název školy: SPŠ Ústí nad Labem, středisko Resslova Autor: Ing. Pavel Votrubec Název: VY_32_INOVACE_02_AUT_71_navrhy_elektropnematiky.pptx Téma: Metody návrhů

Více

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace Číslo zadání: 1 Název zadání : Kombinační automat dvoubitová binární sčítačka Zadání : Navrhněte LO pro dvoubitovou binární sčítačku z TTL obvodů a) Proveďte analýzu zadané úlohy. b) Navrhněte sčítačku

Více

Úloha č. 4. Připojení 7-segmentového zobrazovače LED s posuvným registrem, připojení tlačítek

Úloha č. 4. Připojení 7-segmentového zobrazovače LED s posuvným registrem, připojení tlačítek Úloha č. 4. Připojení 7-segmentového zobrazovače LED s posuvným registrem, připojení tlačítek Úkol: K STM32F100 připojte pomocí sério-paralelního posuvného registru 7-segmetový zobrazovač s LED a dále

Více

9. Rozšiřující desky Evb_Display a Evb_keyboard

9. Rozšiřující desky Evb_Display a Evb_keyboard 9. Rozšiřující desky Evb_Display a Evb_keyboard Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Zobrazovacích displejích Principu činnosti a programování čtyřřádkového

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

PODPORA ELEKTRONICKÝCH FOREM VÝUKY

PODPORA ELEKTRONICKÝCH FOREM VÝUKY INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ PODPORA ELEKTRONICKÝCH FOREM VÝUKY CZ.1.07/1.1.06/01.0043 Tento projekt je financován z prostředků ESF a státního rozpočtu ČR. SOŠ informatiky a spojů a SOU, Jaselská

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2007 Obsah 1. Kvadraturní dekodér...3

Více

Logické řízení výšky hladiny v nádržích

Logické řízení výšky hladiny v nádržích Popis úlohy: Spojené nádrže tvoří dohromady regulovanou soustavu. Přívod vody do nádrží je zajišťován čerpady P1a, P1b a P3 ovládaných pomocí veličin u 1a, u 1b a u 3, snímání výšky hladiny je prováděno

Více

Režim BiLevel a ventilátory 800 Series

Režim BiLevel a ventilátory 800 Series Úvod Režim BiLevel (Obr. 1) pro ventilátory 800 Series je smíšený režim ventilace, který kombinuje parametry řízeného a spontánního dýchání. V režimu BiLevel jsou řízené dechy vždy tlakově řízené a spontánní

Více

Laboratorní úloha 7 Fázový závěs

Laboratorní úloha 7 Fázový závěs Zadání: Laboratorní úloha 7 Fázový závěs 1) Změřte regulační charakteristiku fázového závěsu. Změřené průběhy okomentujte. Jaký vliv má na dynamiku filtr s různými časovými konstantami? Cíl měření : 2)

Více

Pracovní list - Laboratorní práce č. 7 Jméno: Třída: Skupina:

Pracovní list - Laboratorní práce č. 7 Jméno: Třída: Skupina: Projekt Efektivní Učení Reformou oblastí gymnaziálního vzdělávání je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. Pracovní list - Laboratorní práce č. 7 Jméno: Třída:

Více

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr.

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr. Model procesoru Jedná se o blokové schéma složené z registrů, paměti RAM, programového čítače, instrukčního registru, sčítačky a řídicí jednotky, které jsou propojeny sběrnicemi. Tento model má dva stavy:

Více

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Číslo projektu: Číslo šablony: Název materiálu: Ročník: Identifikace materiálu: Jméno autora: Předmět: Tématický celek: Anotace: CZ.1.07/1.5.00/34.0410

Více

Schmittův klopný obvod

Schmittův klopný obvod Schmittův klopný obvod Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 Malina, V.: Digitální technika, KOOP, České Budějovice 1996 http://pcbheaven.com/wikipages/the_schmitt_trigger

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT

Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT MĚŘENÍ S LOGICKÝM ANALYZÁTOREM Jména: Jiří Paar, Zdeněk Nepraš Datum: 2. 1. 2008 Pracovní skupina: 4 Úkol: 1. Seznamte se s ovládáním logického analyzátoru M611 2. Dle postupu měření zapojte pracoviště

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

1 Zadání. 2 Teoretický úvod. 7. Využití laboratorních přístrojů v elektrotechnické praxi

1 Zadání. 2 Teoretický úvod. 7. Využití laboratorních přístrojů v elektrotechnické praxi 1 7. Využití laboratorních přístrojů v elektrotechnické praxi 1 Zadání Zapojte pracoviště podle pokynů v pracovním postupu. Seznamte se s ovládáním přístrojů na pracovišti a postupně realizujte jednotlivé

Více

Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno od tří rezistorů s hodnotou 5 kω.

Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno od tří rezistorů s hodnotou 5 kω. Časovač 555 NE555 je integrovaný obvod používaný nejčastěji jako časovač nebo generátor různých pravoúhlých signálů. Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno

Více

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování 8. Rozšiřující deska Evb_IO a Evb_Motor Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Výukové texty. pro předmět. Automatické řízení výrobní techniky (KKS/ARVT) na téma

Výukové texty. pro předmět. Automatické řízení výrobní techniky (KKS/ARVT) na téma Výukové texty pro předmět Automatické řízení výrobní techniky (KKS/ARVT) na téma Podklady k základním pojmům principu řídicích systémů u výrobních strojů Autor: Doc. Ing. Josef Formánek, Ph.D. Podklady

Více

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

Základy logického řízení

Základy logického řízení Základy logického řízení 11/2007 Ing. Jan Vaňuš, doc.ing.václav Vrána,CSc. Úvod Řízení = cílené působení řídicího systému na řízený objekt je členěno na automatické a ruční. Automatickéřízení je děleno

Více

Univerzální watchdog WDT-U2/RS485

Univerzální watchdog WDT-U2/RS485 Univerzální watchdog WDT-U2/RS485 Parametry: Doporučené použití: hlídání komunikace na sběrnicích RS485, RS232 a jiných. vstupní svorkovnice - napájení 9-16V DC nebo 7-12V AC externí galvanicky oddělený

Více

VY_32_INOVACE_AUT-2.N-06-DRUHY AUTOMATICKEHO RIZENI. Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_AUT-2.N-06-DRUHY AUTOMATICKEHO RIZENI. Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_AUT-2.N-06-DRUHY AUTOMATICKEHO RIZENI Střední odborná škola a Střední odborné učiliště, Dubno

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

Návrh frekvenčního filtru

Návrh frekvenčního filtru Návrh frekvenčního filtru Vypracoval: Martin Dlouhý, Petr Salajka 25. 9 2010 1 1 Zadání 1. Navrhněte co nejjednodušší přenosovou funkci frekvenčního pásmového filtru Dolní propusti typu Bessel, která bude

Více

Elektronické praktikum EPR1

Elektronické praktikum EPR1 Elektronické praktikum EPR1 Úloha číslo 4 název Záporná zpětná vazba v zapojení s operačním zesilovačem MAA741 Vypracoval Pavel Pokorný PINF Datum měření 9. 12. 2008 vypracování protokolu 14. 12. 2008

Více

Konečné automaty (sekvenční obvody)

Konečné automaty (sekvenční obvody) Konečné automaty (sekvenční obvody) Název školy: SPŠ Ústí nad Labem, středisko Resslova Autor: Ing. Pavel Votrubec Název: VY_32_INOVACE_03_CIT_42_III_Seminarni_prace_navrh_KA Téma: Návrhy zadání III. Seminární

Více

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace Číslo zadání: 1 Název zadání : Kombinační automat dvoubitová binární sčítačka Navrhněte LO pro dvoubitovou binární sčítačku z TTL obvodů Dílčí úkoly : a) Proveďte analýzu zadané úlohy. b) Navrhněte sčítačku

Více

PROTOKOL O LABORATORNÍM CVIČENÍ - AUTOMATIZACE

PROTOKOL O LABORATORNÍM CVIČENÍ - AUTOMATIZACE STŘEDNÍ PRŮMYSLOVÁ ŠKOLA V ČESKÝCH BUDĚJOVICÍCH, DUKELSKÁ 13 PROTOKOL O LABORATORNÍM CVIČENÍ - AUTOMATIZACE Provedl: Tomáš PRŮCHA Datum: 17. 4. 2009 Číslo: Kontroloval: Datum: 5 Pořadové číslo žáka: 24

Více

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE Úvod Účelem úlohy je seznámení s funkcemi a zapojeními několika sekvenčních logických obvodů, s tzv. bistabilními klopnými obvody a čítači. U logických obvodů se často

Více

Měření pilového a sinusového průběhu pomocí digitálního osciloskopu

Měření pilového a sinusového průběhu pomocí digitálního osciloskopu Měření pilového a sinusového průběhu pomocí digitálního osciloskopu Úkol : 1. Změřte za pomoci digitálního osciloskopu průběh pilového signálu a zaznamenejte do protokolu : - čas t, po který trvá sestupná

Více

L A B O R A T O R N Í C V I Č E N Í

L A B O R A T O R N Í C V I Č E N Í Univerzita Pardubice Ústav elektrotechniky a informatiky Pardubice, Studentská 95 L A B O R A T O R N Í C V I Č E N Í Příjmení Šitina Číslo úlohy: 1 Jméno: Petr Datum měření: 30. 3. 2007 Školní rok: 2006

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

SPARTAN - 3 Xilinx FPGA Device

SPARTAN - 3 Xilinx FPGA Device SPARTAN - 3 Xilinx FPGA Device 1. Úvod: 1.2V řada SPARTAN-3 navazuje na úspěch předchozí řady: SPARTAN-IIE. Od architektury SPARTAN-IIE se liší v počtu systémových hradel a logických buněk, velikosti RAM,

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_51_Posuvné registry použití Název

Více

Direct Digital Synthesis (DDS)

Direct Digital Synthesis (DDS) ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Direct Digital Synthesis (DDS) Přímá číslicová syntéza Tyto materiály vznikly za podpory

Více

T2M 2,4G LED ovladač barevné teploty

T2M 2,4G LED ovladač barevné teploty T2M 2,4G LED ovladač barevné teploty T2M T3- CC T3- CV T2M je 2.4GHz RF bezdrátový regulátor teploty synchronizace / zóna barva. Řídicí systém se skládá ze dvou částí, dálkového ovládání a přijímače. Synchronizačním

Více

mové techniky budov Osnova Základy logického Druhy signálů

mové techniky budov Osnova Základy logického Druhy signálů Základy Systémov mové techniky budov Základy logického řízení Ing. Jan Vaňuš N 716 tel.: 59 699 1509 email: jan.vanus vanus@vsb.czvsb.cz http://sweb sweb.cz/jan.vanus Druhy signálů, Osnova, základní dělení

Více

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Studijní text pro 3. a 4. ročníky technických oborů Programování řídících systémů v reálném čase Verze: 1.11

Více