Struktura a architektura počítačů

Rozměr: px
Začít zobrazení ze stránky:

Download "Struktura a architektura počítačů"

Transkript

1 Struktur rchitektur očítčů Logické ovody - kominční Booleov lger, ormy oisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická Ver.. J. Zděnek/M. Chomát

2 Logický kominční ovod Logický kominční ovod (LKO) osán logickou unkcí Vstuy výstuy nývjí ouze hodnot neo y LKO n y m Hodnoty všech výstuních roměnných jsou v kždém čsovém okmžiku určeny ouze hodnotmi vstuních roměnných ve stejném okmžiku (LKO si nemtuje své minulé stvy) ABSAP Struktur rchitektur očítčů

3 Logický ovod Dvojkové (inární) signály ouze Číslicový návrh Číslicové ovody logické ovody Pois logického ovodu Booleov lger, logické unkce Návrh číslicového očítče oecněji návrh číslicového systému návrh zákldních unkčních loků návrh komunikce mezi loky Logické kominční ovody (LKO) Logické sekvenční ovody (LSO) LKO vs LSO LKO okmžité výstuy unkcí ouze okmžitých vstuů LSO výstuy unkcí okmžitých vstuů minulosti (vnitřní stvy) Práce s moderními CAD návrhovými systémy (lortoř) ABSAP Struktur rchitektur očítčů

4 Řešené rolémy ři návrhu Seciikce unkce co chceme relizovt Hlvně y to ungovlo odle zdání Otimlizce návrhu z různých hledisek Velikost Rychlost Příkon Prcovní odmínky (telot, virce, ) Solehlivost Cen včetně návrhových rostředků Rychlost návrhu Testovtelnost (DT design or testility) ABSAP Struktur rchitektur očítčů

5 Logická kominční unkce Kominční unkce: yk (,,,..., n ), k,,..., m n LKO y y y m ABSAP Struktur rchitektur očítčů 5

6 Fáze návrhu číslicového systému Seciikce Určení vstuů výstuů Prvdivostní tulky Booleovské rovnice Minimlizce Návrh relizce n úrovni hrdel HDL - Hrdwre Descrition Lnguge Schem n úrovni hrdel VHDL, Verilog Hrdwre Descrition Lnguge Syntéz Logická simulce n úrovni hrdel Generování rogrmového souoru Relizce číslicového ovodu Ověření návrhu ABSAP Struktur rchitektur očítčů 6

7 Sotwre Hrdwre BASYS FPGA Device ABSAP Struktur rchitektur očítčů

8 Booleov lger Booleov lger konečná množin rvků oshující: logické roměnné dvě inární oerce (logický součin logický součet) unární oerci negce,, c,... AND (.), OR ( ) NOT ( ), dv logické stvy (logické konstnty) konjunkce disjunkce Aiomy:.... (Aiom tvrzení, které se nedokzuje, okládá se z ltné) ABSAP Struktur rchitektur očítčů 8

9 Booleov lger Zákony: ( ) c ( c) (. ). c.(. c).( c).. c (. c) ( )( c).... (. ).( )..( ). Komuttivní Asocitivní Distriutivní Idemotentnost Komlementrit Agresivnost Neutrálnost Asorce Asorce negce Involuce ABSAP Struktur rchitektur očítčů 9

10 Booleov lger Zákony: c. c.. c ( ).( c).( c) ( ).( c) de Morgnův Asorce consensu. (,, c,...). (,, c,...). (,, c,...) důsledek: (,, c,...). (, c,...). (, c,...) Shnnonův o dekomozici Kždou logickou unkci lze zst omocí logického součinu, součtu negce Princi dulity: Kždé rovnosti výrzů odovídá rovnost duálních výrzů dle trnsormce:. ( OR AND).. ( AND OR) ABSAP Struktur rchitektur očítčů

11 Funkce hrdel AND OR INV (Invertor) AND OR NOT AND zisujeme :. OR zisujeme : NOT zisujeme : ABSAP Struktur rchitektur očítčů

12 Funkce hrdel 5 6 NAND NOR XOR NAND NOR 5 XOR 6 NAND zisujeme :. 5 NOR zisujeme : 5 6 XOR zisujeme : 6 ABSAP Struktur rchitektur očítčů

13 Funkce hrdel (vicevstuové členy) c AND c OR c XOR AND D c 5 6 OR D c 5 6 Lichý očet (Lichá rit) c XOR XOR XOR D c 5 6 ABSAP Struktur rchitektur očítčů

14 Oecné kominční hrdlo, zoždění Kominční hrdlo je určeno: Funkčním chováním Prvdivostní tulk Logická rovnice Ztížením vstuů výstuů tilh tihl Zožděním signálu ze vstuu n výstu ro změnu n n (Progtion Dely) Úrovněmi logické n vstuu výstuu Sotřeou Nejrychlejší nejmenší hrdl (z nejméně trnsistorů) jsou: invertor (NOT)(v CMOS trnsistory), NAND NOR (), AND OR (6) VIH VIL VOH VOL ABSAP Struktur rchitektur očítčů

15 Inde, minterm, Mterm Prvdivostní tulk D ( c,, ) c,, 5 6 minterm (m) c.. c.. c.. c.. c.. c.. c.. c.. Mterm (M) c c c c c c c c Inde Nezávisle roměnné mintermy Funkční hodnoty Mtermy D n i di... d d d... d d d i ABSAP Struktur rchitektur očítčů 5

16 SoP (ÚNDF), PoS (ÚNKF) ÚNDF Úlná normální disjunktivní orm (SoP Sum o Products) m i( ) m (,,, 6) i ( c,, ) c.. c.. c.. c.. ÚNKF Úlná normální konjunktivní orm (PoS Product o Sums) Mj() M(,, 5, ) j ( c,, ) ( c ).( c ).( c ).( c ) ABSAP Struktur rchitektur očítčů 6

17 Minimlizce logických unkcí Minimlizujte unkci,, ( ) zdnou rvdivostní tulkou: D 5 6 SoP Sum o Products m (,,,, ) ÚNDF m(,,,, ) M (, 5, 6) PoS Product o Sums ÚNKF M,5,6) )( )( ( ( ) ABSAP Struktur rchitektur očítčů

18 ABSAP Struktur rchitektur očítčů 8 Minimlizce logických unkcí ) ( ) ( ) ( ()() () () () () () ()() ()() ()() ()() ()() ( ) m( ) minterm( ). řešení ) Minimlizce úrvou logické unkce: 6 5 D 6 5 D

19 ABSAP Struktur rchitektur očítčů 9 Minimlizce logických unkcí ( ) m( ) minterm( ). řešení ) ( ) ( ) ( () () () () () ()() ()() ()() ()() ()() ()() ) Minimlizce úrvou logické unkce (okrč.): 6 5 D 6 5 D

20 Minimlizce logických unkcí ) Minimlizce úrvou logické unkce (okrč.): Dvě řešení:. řešení. řešení Podsttné imliknty žádný nelze vyustit z řešení ABSAP Struktur rchitektur očítčů

21 ABSAP Struktur rchitektur očítčů Minimlizce logických unkcí ) Minimlizce z K-my (Krnughov m): ) m (,,,, řešení. řešení Porovnej s řešením dle ) 6 5 D 6 5 D

22 Minimlizce logických unkcí ) Minimlizce sojováním termů (Quine-McCluskey): (Vhodná metod ro očítčové zrcování) m (,,,, ) (... ) (... ) (... )( ) (... ) Tulk sojování mintermů D 5 6 m Krok m Krok m Krok, () (,) - - () (,) - - () (,) - - () (,) - - () Pokrytí mintermů Oznčené řádky yly sojeny sojený term řeveden do dlšího kroku ABSAP Struktur rchitektur očítčů

23 Minimlizce logických unkcí ) Minimlizce sojováním termů (okrč.): Tulk sojování mintermů m Krok m Krok m Krok, () (,) - - () (,) - - () (,) - - D 5 6 () (,) - - () Tulk okrytí Imliknty / m ABSAP Struktur rchitektur očítčů

24 ABSAP Struktur rchitektur očítčů Minimlizce logických unkcí Imliknty / m A B ) Minimlizce sojováním termů (okrč.): Vyhodnocení tulky okrytí A B. řešení. řešení Podsttné imliknty žádný nelze vyustit z řešení. řešení. řešení Porovnej s řešením dle ) ) 6 5 D 6 5 D

25 ABSAP Struktur rchitektur očítčů 5 Relizce logické unkce X X X 6 5 D 6 5 D

26 ABSAP Struktur rchitektur očítčů 6 Relizce logické unkce A X X X A Jen hrdl NAND 6 5 D 6 5 D

27 ABSAP Struktur rchitektur očítčů Relizce logické unkce ) )( ( B X X X B 6 5 D 6 5 D

28 ABSAP Struktur rchitektur očítčů 8 Relizce logické unkce ) ( ) ( ) ( ) ( ) ( ) ( ) )( ( B C Jen hrdl NOR X X X C 6 5 D 6 5 D

29 ABSAP Struktur rchitektur očítčů 9 K m (Krnughov m) K m orm rvdivostní tulky Používá se ro rychlou grickou minimlizci logických unkcí V sousedících olích K my se mění ouze jedn vstuní roměnná Čár nd říslušným olem znčí, že roměnná má hodnotu "" Očíslování olí K my je vhodná omůck ro rychlý řenos hodnot logické unkce z ěžné rvdivostní tulky do K my K m je oužitelná ro 5 (6) vstuních roměnných 6 5 D 6 5 D K - m Prvdivostní tulk 5 6 Oznčení olí indeem D vhodná omůck

30 K m, ostu minimlizce V K mě oznčíme n tice sousedících hodnot Volíme co největší olsti co nejmeně olstí Vstuní roměnné, které se mění v oznčené olsti vyloučíme Z roměnných, které se nemění v oznčené olsti, zíšeme minimlizovnou unkci ve tvru SoP (,,...) m (...,...,... ) ABSAP Struktur rchitektur očítčů

31 ABSAP Struktur rchitektur očítčů K m D XOR m(,) M(,) ) )( (

32 ABSAP Struktur rchitektur očítčů K m D XOR ) )( ( M(,) m(,) ) ( ) ( ) )( ( ) )( (

33 K m, ostu minimlizce ABSAP Struktur rchitektur očítčů

34 K m, ostu minimlizce ABSAP Struktur rchitektur očítčů

35 K m šlony ABSAP Struktur rchitektur očítčů 5

36 Sčítčk (Adder) Chci sčítt Co? Tvr výsledku? JAK??? ABSAP Struktur rchitektur očítčů 6

37 Sčítčk (Adder) Dvojková čísl, JAK? číslicově, dvojkově (inárně) Dvojkové číslo s ABSAP Struktur rchitektur očítčů

38 Sčítčk (Adder) Dvojková čísl, udou nejrve jednoitová??? s Prvdivostní tulk s s d A co řenos do vyššího řádu? ABSAP Struktur rchitektur očítčů 8

39 Půlsčítčk (Hl Adder) D q s Hl Adder s m (, ) q m ( ) XOR s q AND ABSAP Struktur rchitektur očítčů 9

40 Sčítčk (Full Adder) s q Přenos z nižšího řádu Přenos do vyššího řádu ABSAP Struktur rchitektur očítčů

41 Sčítčk (Full Adder) D q s 5 6 s m (,,, ) q m (, 5, 6, ) ABSAP Struktur rchitektur očítčů

42 Sčítčk (Full Adder) AND AND s s OR AND AND SoP ez úrv AND q q AND OR AND ABSAP Struktur rchitektur očítčů

43 ABSAP Struktur rchitektur očítčů Sčítčk (Full Adder) s q Pokus o minimlizci z K-my s s 5 6 q q... Nevede k zjednodušení Částečné zjednodušení

44 Úrv logického výrzu Sčítčk (Full Adder) XOR XNOR s ( ) ( ) ( ) ( ) ( ) Hl Adder s XNOR XOR Vhodnější minimlizce z K-my q q ( ) ( ) q 5 6 Hl Adder q ABSAP Struktur rchitektur očítčů

45 Sčítčk (Full Adder) Hl Adder Full Adder XOR Hl Adder AND XOR s AND q OR ABSAP Struktur rchitektur očítčů 5

46 Sčítčk ( it dder) q c i Full Adder c i s Full Adder Full Adder Full Adder Full Adder c c c c c s s s s it dder c in c out s ABSAP Struktur rchitektur očítčů 6

47 Kominční vs. sekvenční ovody Kominční ovody Výstu závisí ouze n ktuální kominci signálů n vstuu, nezáleží n stvu vstuů v minulosti. Sekvenční ovody Výstu závisí n oslounosti (sekvenci) hodnot n vstuech, tkové chování se relizuje tzv. zětnou vzou. Vše lze mtemticky ost Logické unkce, udící unkce, unkce výstuů, stvové roměnné Konečný utomt FSM (Finite Stte Mchine), jiné znčení FSA (Finite Stte Automton) ABSAP Struktur rchitektur očítčů

48 Struktur rchitektur očítčů Logické ovody - kominční Booleov lger, ormy oisu Příkldy návrhu KONEC České vysoké učení technické Fkult elektrotechnická ABSAP Struktur rchitektur očítčů 8

Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu

Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logické ovody - kominční Booleov lger, ormy popisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická ABMIS Mikroprocesory

Více

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody Logické ovody Cílem této kpitoly je sezn{mit se s logickými ovody, se z{kldním rozdělením logických ovodů, s jejich některými typy. Tké se nučíme nvrhovt logické ovody. Klíčové pojmy: Logický ovod,kominční

Více

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Číslo projektu Číslo mteriálu Z..07/.5.00/34.058 VY_32_INOVAE_TE-2.MA5_čítčky (poloviční; úplná) Název školy Autor Temtická olst Ročník třední odorná škol třední odorné učiliště, Duno Ing. Miroslv Krýdl

Více

Doc. Ing. Vlastimil Jáneš, CSc., K620

Doc. Ing. Vlastimil Jáneš, CSc., K620 Hrdwre počítčů Doc. Ing. Vlstimil Jáneš, CSc., K620 e-mil: jnes@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Ing. Vít Fáber, K614 e-mil: fber@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Informce mteriály

Více

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení.

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení. 4. Booleov lger Booleov lger yl nvržen v polovině 9. století mtemtikem Georgem Boolem, tehdy nikoliv k návrhu digitálníh ovodů, nýrž jko mtemtikou disiplínu k formuli logikého myšlení. Jko příkld použijeme

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

íslicová technika Radek Maík Maík Radek 1

íslicová technika Radek Maík Maík Radek 1 íslicová technik Rdek Mík Mík Rdek 1 íselné soustvy ritmetické operce Mík Rdek 2 Pevody mezi soustvmi (z10) Výsledek dostneme vyíslením z-dickéhoz dickéhoísl ve tvru dy. (101,11) 2 = 1.2 2 + 0.2 1 + 1.2

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

Technická kybernetika. Obsah

Technická kybernetika. Obsah 28.02.207 Akemiký rok 206/207 Připrvil: Rim Frn Tehniká kyernetik Logiké řízení 2 Osh Logiké řízení. Booleov lger. Zání logiké funke. Syntéz knonikého tvru kominční logiké funke. Sestvení logiké funke

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč České vsoké učení techncké Fkult elektrotechncká Ver..3 J. Zděnek / M. Chomát 24 Čsování výpočet

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

Logické proměnné a logické funkce

Logické proměnné a logické funkce Booleova algebra Logické proměnné a logické funkce Logická proměnná je veličina, která může nabývat pouze dvou hodnot, označených 0 a I (tedy dvojková proměnná) a nemůže se spojitě měnit Logická funkce

Více

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,

Více

Automaty a gramatiky(bi-aag)

Automaty a gramatiky(bi-aag) BI-AAG (2011/2012) J. Holu: 3. Operce s konečnými utomty p. 2/33 Převod NKA ndka BI-AAG (2011/2012) J. Holu: 3. Operce s konečnými utomty p. 4/33 Automty grmtiky(bi-aag) 3. Operce s konečnými utomty Jn

Více

6. Zobrazení δ: (a) δ(q 0, x) obsahuje x i, x i Z. (b) δ(x i, y) obsahuje y j, x i y j P 7. Množina F je množinou koncových stavů.

6. Zobrazení δ: (a) δ(q 0, x) obsahuje x i, x i Z. (b) δ(x i, y) obsahuje y j, x i y j P 7. Množina F je množinou koncových stavů. Vzth mezi reg. výrzy kon. utomty Automty grmtiky(bi-aag) 7. Převody mezi reg. grm., reg. výrzy kon. utomty Jn Holu Algoritmus (okrčování): 6. Zorzení δ: () δ(, x) oshuje x i, x i Z. () δ(x i, y) oshuje

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody P4 LOGICKÉ OBVODY I. Kombinační Logické obvody I. a) Základy logiky Zákony Booleovy algebry 1. Komutativní zákon duální forma a + b = b + a a. b = b. a 2. Asociativní zákon (a + b) + c = a + (b + c) (a.

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Systémové struktury - základní formy spojování systémů

Systémové struktury - základní formy spojování systémů Systémové struktury - základní formy sojování systémů Základní informace Při řešení ať již analytických nebo syntetických úloh se zravidla setkáváme s komlikovanými systémovými strukturami. Tato lekce

Více

zadání: Je dán stejnosměrný motor s konstantním magnetickým tokem, napájen do kotvy, indukčnost zanedbáme.

zadání: Je dán stejnosměrný motor s konstantním magnetickým tokem, napájen do kotvy, indukčnost zanedbáme. Teorie řízení 004 str. / 30 PŘÍKLAD zadání: Je dán stejnosměrný motor s konstantním magnetickým tokem, naájen do kotvy, indukčnost zanedbáme. E ce ω a) Odvoďte řenosovou funkci F(): F( ) ω( )/ u( ) b)

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Booleova algebra. Logická proměnná. Booleova algebra

Booleova algebra. Logická proměnná. Booleova algebra Booleov lger Cílem této kpitoly je seznámit se se zákldy Booleovy logické lgery, která je mtemtickou disciplínou tvoří teoretický prostředek pro návrh logických ovodů. Klíčové pojmy: Logická proměnná,

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Technická kybernetika. Obsah. Realizace kombinačních logických obvodů.

Technická kybernetika. Obsah. Realizace kombinačních logických obvodů. 08.03.207 Akemiký rok 206/207 řiprvil: Rim Frn Tehniká kernetik Relize kominčníh logikýh ovoů 2 Osh Relize kominčníh logikýh ovoů. Kontktní shémt. Bloková shémt. rogrmovtelné logiké utomt. říkl sntéz kominčního

Více

DUM 02 téma: Elementární prvky logiky výklad

DUM 02 téma: Elementární prvky logiky výklad DUM 02 téma: Elementární prvky logiky výklad ze sady: 01 Logické obvody ze šablony: 01 Automatizační technika I Určeno pro 3. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika

Více

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17 DIGITÁLNÍ UČEBNÍ MATERIÁL Číslo projektu CZ07/500/4076 Název školy SOUpotrvinářské, Jílové u Prhy, Šenflukov 0 Název mteriálu VY INOVACE / Mtemtik / 0/0 / 7 Autor Ing Antonín Kučer Oor; předmět, ročník

Více

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY DIGITÁLN LNÍ OBVODY A MIKROPROCESORY BDOM Prof. Ing. Radimír Vrba, CSc. Doc. Ing. Pavel Legát, CSc. Ing. Radek Kuchta Ing. Břetislav Mikel Ústav mikroelektroniky FEKT VUT @feec.vutbr.cz

Více

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony.

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK. Tomáš Bayer bayertom@natur.cuni.cz

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

ANALYTICKÁ GEOMETRIE V PROSTORU

ANALYTICKÁ GEOMETRIE V PROSTORU ANALYTICKÁ GEOMETRIE V PROSTORU 3. přednášk Vektorová lger Prvoúhlé souřdnice odu v prostoru Poloh odu v prostoru je vzhledem ke třem osám k soě kolmým určen třemi souřdnicemi, které tvoří uspořádnou trojici

Více

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA Zvedení vlstnosti reálných čísel Reálná čísl jsou zákldním kmenem mtemtické nlýzy. Konstrukce reálných čísel sice není náplní mtemtické nlýzy, le množin reálných čísel R je pro mtemtickou nlýzu zákldním

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

Základy číslicové techniky z, zk

Základy číslicové techniky z, zk Základy číslicové techniky 2 + 1 z, zk Doc. Ing. Vlastimil Jáneš, CSc., K620 e-mail: janes@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro,

Více

H - Řízení technologického procesu logickými obvody

H - Řízení technologického procesu logickými obvody H - Řízní tchnologického procsu logickými ovody (Logické řízní) Tortický úvod Součástí řízní tchnologických procsů j i zjištění správné posloupnosti úkonů tchnologických oprcí rozhodování o dlším postupu

Více

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla) Otázka 10 - Y36SAP Zadání Logické obvody. Logické funkce, formy jejich popisu. Kombinační obvody a jejich návrh. Sekvenční systém jako konečný automat. Synchronní a asynchronní sekvenční obvody a jejich

Více

Regulace f v propojených soustavách

Regulace f v propojených soustavách Regulce f v propojených soustvách Zopkování principu primární sekundární regulce f v izolovné soustvě si ukážeme obr.,kde je znázorněn S Slovenské Republiky. Modře jsou vyznčeny bloky, které jsou zřzeny

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

m n. Matice typu m n má

m n. Matice typu m n má MATE ZS KONZ B Mtice, hodnost mtice, Gussův tvr Mtice uspořádné schém reálných čísel: m m n n mn Toto schém se nzývá mtice typu m řádků n sloupců. m n. Mtice typu m n má Oznčujeme ji A, B,někdy používáme

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Řízení elektropohonů. 1. Základní pojmy. Logické řízení. 3. Spojité řízení

Řízení elektropohonů. 1. Základní pojmy. Logické řízení. 3. Spojité řízení Logické řízeí Dvohodotové řízeí.ředášk: Řízeí elektroohoů. Zákldí o. Logické řízeí. Soité řízeí Relizce ovl. ovodů Relé, stkče, sigálk, kocové kotkt Solečě se silovýi ovod Ovládcí ovod Logické řízeí Dvohodotové

Více

Minimalizace logické funkce

Minimalizace logické funkce VYSOKÉ UČENÍ TEHNIKÉ V RNĚ FKULT ELEKTROTEHNIKY KOMUNIKČNÍH TEHNOLOGIÍ Ústav mikroelektroniky LORTORNÍ VIČENÍ Z PŘEDMĚTU Digitální integrované obvody Minimalizace logické funkce Michal Krajíček Martin

Více

MATA Př 2. Složené výroky: Jsou dány výroky: a: Číslo 5 je prvočíslo. b: Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné.

MATA Př 2. Složené výroky: Jsou dány výroky: a: Číslo 5 je prvočíslo. b: Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné. MATA Př 2 Složené výroky: Jsou dány výroky: : Číslo 5 je prvočíslo. : Číslo 5 je sudé. c: Číslo 5 je liché. d: Číslo 5 je záporné. Konjunkce disjunkce Konjunkce liovolných výroků, je výrok, který vznikne

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška

Disjunktivní a konjunktivní lní tvar formule. 2.přednáška Disjunktivní a konjunktivní normáln lní tvar formule 2.přednáška Disjunktivní normáln lní forma Definice Řekneme, že formule ( A ) je v disjunktivním normálním tvaru (formě), zkráceně v DNF, jestliže je

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

Matematická logika. Rostislav Horčík. horcik

Matematická logika. Rostislav Horčík.  horcik Matematická logika Rostislav Horčík horcik@math.feld.cvut.cz horcik@cs.cas.cz www.cs.cas.cz/ horcik Rostislav Horčík (ČVUT FEL) Y01MLO Letní semestr 2007/2008 1 / 15 Sémantická věta o dedukci Věta Pro

Více

Výroková logika - opakování

Výroková logika - opakování - opakování ormální zavedení Výroková formule: Máme neprázdnou nejvýše spočetnou množinu A výrokových proměnných. 1. Každá proměnná je výroková formule 2. Když α, β jsou formule, potom ( α), (α β), (α

Více

56. ročník Matematické olympiády. b 1,2 = 27 ± c 2 25

56. ročník Matematické olympiády. b 1,2 = 27 ± c 2 25 56. ročník Mtemtické olympiády Úlohy domácí části I. kol ktegorie 1. Njděte všechny dvojice (, ) celých čísel, jež vyhovují rovnici + 7 + 6 + 5 + 4 + = 0. Řešení. Rovnici řešíme jko kvdrtickou s neznámou

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV POČÍTAČOVÝCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF COMPUTER SYSTEMS JEDNODUCHÝ SIMULÁTOR

Více

Cvičení z termomechaniky Cvičení 5.

Cvičení z termomechaniky Cvičení 5. Příklad V komresoru je kontinuálně stlačován objemový tok vzduchu *m 3.s- + o telotě 0 * C+ a tlaku 0, *MPa+ na tlak 0,7 *MPa+. Vyočtěte objemový tok vzduchu vystuujícího z komresoru, jeho telotu a říkon

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA STROJNÍHO INŽENÝRSTVÍ ÚSTAV AUTOMATIZACE A INFORMATIKY FACULTY OF MECHANICAL ENGINEERING INSTITUTE OF AUTOMATION AND COMPUTER SCIENCE

Více

Formální jazyky. Z. Sawa (VŠB-TUO) Úvod do teoretické informatiky 7. března / 46

Formální jazyky. Z. Sawa (VŠB-TUO) Úvod do teoretické informatiky 7. března / 46 Formální jzyky Z. Sw (VŠB-TUO) Úvod do teoretické informtiky 7. řezn 2012 1/ 46 Teorie formálních jzyků motivce Příkldy typů prolémů, při jejichž řešení se využívá pozntků z teorie formálních jzyků: Tvor

Více

METODICKÝ NÁVOD MODULU

METODICKÝ NÁVOD MODULU Centrum celoživotního vzdělávání METODICKÝ NÁVOD MODULU Název modulu: Zákldy mtemtiky Zkrtk: ZM Počet kreditů: Semestr: Z/L Mentor: Petr Dolnský Tutor: Petr Dolnský I OBSAH BALÍČKU STUDIJNÍCH OPOR: ) Skriptum:

Více

Cílem kapitoly je zvládnutí řešení determinantů čtvercových matic.

Cílem kapitoly je zvládnutí řešení determinantů čtvercových matic. temtk I část I Determty mtc řádu Determty mtc řádu Cíle Cílem ktoly je zvládutí řešeí ermtů čtvercových mtc Defce Determtem (řádu ) čtvercové mtce řádu jejímž rvky j jsou reálá (oř komlexí) čísl zýváme

Více

celek jsme rozdělili na 8 dílů, ale žádný jsme si nevzali celek na nulka dílů rozdělit nelze!!!

celek jsme rozdělili na 8 dílů, ale žádný jsme si nevzali celek na nulka dílů rozdělit nelze!!! . Dělení celku zlomek 0 zlomek zlomková čár čittel udává z kolik stejných částí se zlomek skládá ( z ) jmenovtel udává n kolik stejných částí je celek rozdělen () Vlstnosti: Je-li v čitteli zlomku nul

Více

Automaty a gramatiky. Trochu motivace. Roman Barták, KTIML. rní jazyky. Regulárn. Kleeneova věta. L = { w w=babau w=uabbv w=ubaa, u,v {a,b}* }

Automaty a gramatiky. Trochu motivace. Roman Barták, KTIML. rní jazyky. Regulárn. Kleeneova věta. L = { w w=babau w=uabbv w=ubaa, u,v {a,b}* } ochu motivce L = { w w=u w=uv w=u, u,v {,}* } Automty gmtiky Romn Bták, KIML tk@ktiml.mff.cuni.cz htt://ktiml.mff.cuni.cz/~tk L = L L L, kde L = { w w=u, u {,}* }, L = { w w=uv, u,v {,}* } L = { w w=u,

Více

Regulace v ES na výroby

Regulace v ES na výroby Regulce v ES n výroy Regulce v ES n strně výroy Regulce v ES n strně výroy Sttická chrkteristik Regulce v ES n strně výroy regulce více G Regulce v ES n strně výroy korektor frekvence rimární Regulce Úkol

Více

Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111.

Je regulární? Pokud ne, na regulární ji upravte. V původní a nové gramatice odvod te řetěz 1111. Grmtiky. Vytvořte grmtiku generující množinu řetězů { n m } pro n, m N {} tková, že n m. Pomocí této grmtiky derivujte řetezy,. 2. Grmtik je dán prvidly S ɛ S A A S B B A B. Je regulární? Pokud ne, n regulární

Více

Laplaceova transformace

Laplaceova transformace Lalaceova transformace EO2 Přednáška 3 Pavel Máša ÚVODEM Víme, že Fourierova transformace díky řísným odmínkám existence neexistuje ro řadu běžných signálů dokonce i funkce sin musela být zatlumena Jak

Více

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky.

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO

Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Návrh systémů s digitálními integrovanými obvody a mikroprocesory pro integrovanou výuku VUT a VŠB-TUO Garant předmětu:

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

í ž ý š í ď ý í ě í í ť Ž ě š ěž ě í í ě í ě í ů Ž ěž ý ů ě í ě í í í ě Ž Ú í í í Ť í í í í ť í í í í š í íť ó í ý í ý í ó í í ů ů ě í ů ů ě í ů ě ěž ů ě ěž ě ě í í í ó í í í ó í í í í í í í í ů í í š

Více

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru Algerické výrz V knize přírod může číst jen ten, kdo zná jzk, ve kterém je npsán. Jejím jzkem je mtemtik jejím písmem jsou mtemtické vzorce. (Glileo Glilei) Algerickým výrzem rozumíme zápis, ve kterém

Více

Minimalizace automatů. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 28. března / 31

Minimalizace automatů. M. Kot, Z. Sawa (VŠB-TU Ostrava) Úvod do teoretické informatiky 28. března / 31 Minimlizce utomtů M. Kot, Z. Sw (VŠB-TU Ostrv) Úvod do teoretické informtiky 28. řezn 2007 1/ 31 Ekvivlence utomtů 1 2 3 1 2 3 1 2 Všechny 3 utomty přijímjí jzyk všech slov se sudým počtem -ček Nejvýhodnějšíjepronásposledníznich-mánejméněstvů

Více

ňď Ó Ó Š ť ř ř ř Č ř ť ř Ř Š Ě Č Č ř Č Ý Ě ť Ě ť ř ý ř Ř ť ň Ě Ý ř Ě ř ř ň ť Š Š Š ň ť Ó ť Á ť ř Ů Ú Ě Č ť ň Š ř Ď Č Š ň Ř Ě ň ý řň ř ř ř Č Š ť Š Š Š Ú Š Á Ý Ú Š Š Š Š Š ť Á ť ť Ě ť ť ť ř Ú Ú Ú Š Ů Š ý

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

ě Á Á é é ě ě ě ú é é é ě é é ď ď ď š š Č Á ě ú Á ď š ě Č ě š ěž ě é ě ě ě ě ě ě Č Á ě Á é ú Ž é š ě š š é Ž ě é š é Š ť Ž ě Č Á ú Á Ť é ě é š ě ě š š ď ď Č é š š Č ě ě ú ě ú Ť é ě š ě ě š ě š ě ě ú ě

Více

Úplný systém m logických spojek. 3.přednáška

Úplný systém m logických spojek. 3.přednáška Úplný sstém m logických spojek 3.přednáška Definice Úplný sstém m logických spojek Řekneme, že množina logických spojek S tvoří úplný sstém logických spojek, jestliže pro každou formuli A eistuje formule

Více

BOOLOVÁ ALGEBRA ZÁKLADNÉ OPERÁCIE

BOOLOVÁ ALGEBRA ZÁKLADNÉ OPERÁCIE OOLOVÁ LGER Slúži na matematický opis zákonov a pravidiel výrokovej logiky, ktorá rieši vzahy medzi pravdivými a nepravdivými výrokmi. Pravdivému výroku prideujeme logickú hodnotu 1 a nepravidelnému výroku

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 3. Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 3. Student Přmět Ústv Úloh č. 3 BDIO - Diitální ovoy Ústv mikrolktroniky Návrh koéru BCD kóu n 7-smntový isplj, kominční loik Stunt Cíl Prá s 7-smntovým ispljm. Návrh kominční loiky koéru pro 7-smntový isplj. Minimliz

Více

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c ) INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ ) Pojem neurčitého integrálu Je dán funkce Pltí všk tké F tk, y pltilo F ( ) f ( ) Zřejmě F ( ), protože pltí, 5,, oecně c, kde c je liovolná kon- stnt f ( ) nším

Více

Numerické výpočty proudění v kanále stálého průřezu při ucpání kanálu válcovou sondou

Numerické výpočty proudění v kanále stálého průřezu při ucpání kanálu válcovou sondou Konference ANSYS 2009 Numerické výočty roudění v kanále stálého růřezu ři ucání kanálu válcovou sondou L. Tajč, B. Rudas, a M. Hoznedl ŠKODA POWER a.s., Tylova 1/57, Plzeň, 301 28 michal.hoznedl@skoda.cz

Více

Domácí telefony DT 93

Domácí telefony DT 93 Domácí telefony DT 93 4FP 110 51-55 4FP 110 73-74 OBSAH: I. Provedení možnosti použití DT93 strn 1 Obr.1 Schém DT 4FP 110 51 DT93 strn 1 Obr.2 Schém DT 4FP 110 52 DT93 strn 1 Obr.3 Schém DT 4FP 110 53

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Způsob určení množství elektřiny z kombinované výroby vázané na výrobu tepelné energie

Způsob určení množství elektřiny z kombinované výroby vázané na výrobu tepelné energie Příloha č. 2 k vyhlášce č. 439/2005 Sb. Zůsob určení množství elektřiny z kombinované výroby vázané na výrobu teelné energie Maximální množství elektřiny z kombinované výroby se stanoví zůsobem odle následujícího

Více

Výpočet vnitřních sil I

Výpočet vnitřních sil I Stvení sttik, 1.ročník klářského studi ýpočet vnitřních sil I přímý nosník, ztížení odové nitřní síly - zákldní pojmy ýpočet vnitřních sil přímého vodorovného nosníku Ktedr stvení mechniky Fkult stvení,

Více

Automaty a gramatiky

Automaty a gramatiky Automty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cuni.cz http://ktiml.mff.cuni.cz/~rtk Úvod do formálních grmtik Grmtiky, všichni je známe, le co to je? Popis jzyk pomocí prvidel, podle kterých se vytvářejí

Více

definovat pojmy: PI člen, vnější a vnitřní omezení, přenos PI členu popsat činnost PI regulátoru samostatně změřit zadanou úlohu

definovat pojmy: PI člen, vnější a vnitřní omezení, přenos PI členu popsat činnost PI regulátoru samostatně změřit zadanou úlohu . PI regulátor Čas ke studu: 5 mnut Cíl Po rostudování tohoto odstavce budete umět defnovat ojmy: PI člen, vnější a vntřní omezení, řenos PI členu osat čnnost PI regulátoru samostatně změřt zadanou úlohu

Více

Vícebytová celočíselná aritmetika

Vícebytová celočíselná aritmetika IMTEE 7 / 8 Přednášk č. 7 Vícebytová celočíselná ritmetik = bitová šířk zprcovávných dt > než šířk slov PU npř.: 8 b PU zprcovává b dt dále teoretické příkldy: b PU zprcovává 6 b slov Uložení dt v pměti

Více

Reproduktor elektroakustický měnič převádějící elektrický signál na akustický signál, převážně zvukový

Reproduktor elektroakustický měnič převádějící elektrický signál na akustický signál, převážně zvukový Měření reroduktorů Reroduktor elektroakustický měnič řevádějící elektrický signál na akustický signál, řevážně zvukový i w u Reroduktor reroduktor jako dvoubran y( t) h( t)* x( t) Y ( ω ) H ( ω ). X X

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

VÝKAZ ZISKŮ A ZTRÁT k V tis. Kč

VÝKAZ ZISKŮ A ZTRÁT k V tis. Kč ODDÍL I. INDIVIDUÁLNÍ ÚČETNÍ ZÁVĚRKA VÝKAZ ZISKŮ A ZTRÁT Oznčení Číslo řádku c Skutečnost v účetním odoí Sledovném 1 2 I. Tržy z prodej zoží O1 A Nákldy vynložené n prodné zoží O2 Ochodní mrže O3 II. Výkony

Více

Knihovna modelů technologických procesů. Bc. Radim Pišan

Knihovna modelů technologických procesů. Bc. Radim Pišan Knihovna modelů tehnologikýh roesů B. Radim Pišan 2007 ABSTRAKT V rái je ředstavena knihovna modelů tehnologikýh roesů, vytvářená v rogramovém rostředí MATLAB-SIMULINK. Tato využívá bloku s-funtion (s-funkí)

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Opakování České vysoké učení technické Fakulta elektrotechnická AB4MIS Mikroprocesory pro výkonové systémy Ver..4 J. Zděnek, 27 MOS transistory jako elektrické spínače

Více

Automaty a gramatiky. Úvod do formáln. lních gramatik. Roman Barták, KTIML. Příklady gramatik

Automaty a gramatiky. Úvod do formáln. lních gramatik. Roman Barták, KTIML. Příklady gramatik Úvod do formáln lních grmtik Automty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cuni.cz http://ktiml.mff.cuni.cz/~rtk Grmtiky, všichni je známe, le co to je? Popis jzyk pomocí prvidel, podle kterých se vytvářejí

Více

Definice. Necht M = (Q, T, δ, q 0, F ) je konečný automat. Dvojici (q, w) Q T nazveme konfigurací konečného automatu M.

Definice. Necht M = (Q, T, δ, q 0, F ) je konečný automat. Dvojici (q, w) Q T nazveme konfigurací konečného automatu M. BI-AAG (20/202) J. Holu: 2. Deterministické nedeterministické konečné utomty p. 2/3 Konfigurce konečného utomtu BI-AAG (20/202) J. Holu: 2. Deterministické nedeterministické konečné utomty p. 4/3 Automty

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY KOMBINAČNÍ LOGICKÉ OBVODY Použité zdroje: http://cs.wikipedia.org/wiki/logická_funkce http://www.ibiblio.org http://martin.feld.cvut.cz/~kuenzel/x13ups/log.jpg http://www.mikroelektro.utb.cz http://www.elearn.vsb.cz/archivcd/fs/zaut/skripta_text.pdf

Více

Logaritmická funkce, logaritmus, logaritmická rovnice

Logaritmická funkce, logaritmus, logaritmická rovnice Logritmická funkce. 4 Logritmická funkce, ritmus, ritmická rovnice - získá se jko funkce inverzní k funkci eponenciální, má tvr f: = Pltí: > 0!! * * = = musí být > 0, > 0 Rozlišujeme dv zákldní tp: ) >

Více

Konstrukce na základě výpočtu I

Konstrukce na základě výpočtu I ..11 Konstrukce n zákldě výpočtu I Předpokldy: Pedgogická poznámk: Původně yl látk rozepsnou do dvou hodin, v první ylo kromě dělení úseček zřzen i čtvrtá geometrická úměrná. Právě její prorání se nestíhlo,

Více

Výroková a predikátová logika - II

Výroková a predikátová logika - II Výroková a predikátová logika - II Petr Gregor KTIML MFF UK ZS 2017/2018 Petr Gregor (KTIML MFF UK) Výroková a predikátová logika - II ZS 2017/2018 1 / 17 Předběžnosti Základní pojmy n-ární relace a funkce

Více

Převody Regulárních Výrazů. Minimalizace Konečných. Regulární jazyky 2 p.1/35

Převody Regulárních Výrazů. Minimalizace Konečných. Regulární jazyky 2 p.1/35 Převody Regulárních Výrzů Minimlizce Konečných Automtů Regulární jzyky 2 p.1/35 Kleeneho lger Definice 2.1 Kleeneho lger sestává z neprázdné množiny se dvěm význčnými konstntmi 0 1, dvěm inárními opercemi

Více