ProgramovatelnØ logickø obvody

Rozměr: px
Začít zobrazení ze stránky:

Download "ProgramovatelnØ logickø obvody"

Transkript

1 ProgramovatelnØ logickø obvody len integrovan ch obvodø IntegrovanØ obvody zle d lit podle mnoha kritøri. Napł klad podle pouirø technologie v roby tranzistorø a diod ( bipolærn, NMOS, MOS, BiMOS atd. ), podle pouit ch konvenc płenosu logick ch signælø, napł proudem ( EL, IIL ) nebo nap t m (TTL, MOS, LVTTL, LVMOS). al døleitø kritørium je oblast pouit obvodø, viz næsleduj c obræzek standartn logickø (napł łada TTL, 0 MOS) procesory, pam ti atd. IO standardn (SSP) napł. M controller, RT, ładiłe H, F, podpørnø obvody procesorø - Łipsety aolikałn specifickø (SI) pln zakæzkovø jen T,,R, funkłn bloky nutn v voj vech masek velmi drahø a pomalø zakæzkovø (SP) polo zakæzkovø płipravenø zækladn bloky (hradla, tranzistory nutn v voj jen n kter ch masek, G- gate arrays programovatelnø (FPL) płipravenø zækladn bloky, propojovac kanæly a programovatelnæ spojen Æle se budeme zab vat pouze programovateln mi logick mi obvody ( FPL- field programmable logic arrays). Tyto obvody lze podle velikosti a vlasnost płipraven ch funkłn ch blokø d lit na dv skupiny. ProgramovatelnØ logickø obvody ( PL - programmable logic devices ) a sloit j logickæ pole ( FPG - field programmable generic arrays). l c hranice nen ostræ. PL obsahuj obvykle do 00 ekvivalentn ch hradel, ale existuj i ve obvody s 0000 ekvivalentn mi hradly ( tyto obvody se pro svoj velikos oznałuj PL - complex PL ). Obvody FPG obsahuj takø okolo 000 ekvivalentn ch hradel, ale na rozd l od PL jsou tvołeny bloky, schopn mi vytvæłet i sloit j funkce. ProgramovatelnØ propojky Prvn programovatelnø obvody se objevily okolo roku 98. Jednalo se napł klad o obvody firmy M obsahuj c propojky urłenø k płetaven. V dnen dob se vyu væ næsleduj c ch druhø propojek.

2 BipolÆrn propojky - v nenaprogramovanøm stavu jsou vdy vodivø, płi programovæn se zv en m nap t m płetav a t m se uvedou do nevodivøho stavu. Zpod n na hradlo takov chto obvodø je za cenu vysokø społeby velmi n zkø ( okolo a ns ). Plovouc hradla - pou vaj se u obvodø vyroben ch technologi NMOS a MOS. Propojky jsou tvołeny unipolærn mi tranzistory, jejich hradla jsou płipojena na miniaturn kondenzætory. Pokud je na kondenzætoru uloen næboj, propojka se naløzæ ve vodivøm stavu. NÆboj na kondenzætorech lse m nit pouze v programovac m stavu, kdy je kondenzætor płes dal tranzistor nebo diodu płipojen k vn j m logick m signæløm. JednÆ-li se o diodu, lze pouze płiveden m zv enøho nap t dodat næboj do kondenzætoru. Sn en næboje se dociluje napł klad ozæłen m obvodu UV sv tlem, kterø zpøsob excitaci elektronø a umon jejich płesun z kondenzætoru. Tato technologie se naz væ EPROM ( erasable programmable read only memory ). JednÆ-li se o tranzistory płipojenø ke kondenzætorøm, lze zv en m nap t na jejich hradle doc lit monosti ł dit næboj a je monø ve speciæln m reimu i obvod elektricky vymazat ( EEPROM - eletric erasable programmable read only memory ). Obvody s t mito technologiemi mohou m t zpod n na hradlo okolo a 0 ns. Propojky PLIE - tecnologie firmy TEL. JednÆ se o propojky NTI-FUSE ( obræcenæ pojistka ), kterø jsou v zækladn m stavu nevodivø. NevodivÆ dielektrickæ vrstva se zv en m nap t m proraz a propojka se stane vodivæ. Odpor v nevodivøm a vodivøm stavu je 00 MΩ / 00 Ω Propojky VILINK - firmy Quick Logic. ProgramovatelnÆ propojka je tvołena amorfn m kłem kem mezi dv mi kovov mi drahami. Płi proraen dojde k nataven kovu a jeho slit. Tato technologie dosahuje velmi dobrøho pom ru odporu v nevodivøm a vodivøm stavu ( 0 MΩ / 0 Ω ). To je døleitø pro zajit n rychlø zm ny nap t na kapacitæch vodiłø. rcitektura obvodø PL Nejdł ve se budeme zb vat kombinałn mi logick mi obvody. Libovolnou kombinałn funkci lze zapsat jako soułin maxtermø nebo soułet mintermø. Æle budeme uvaovat pouze soułet mintermø. Minterm je pravdiv poze tehdy, je-li na vstupech jedna z kombinac, pro kterou je v slednæ funkce pravdivæ. Mejjednodu m pł kladem takovøho obvodu je pam PROM. Pro kadou kombinaci vstupø je monø urłit hodnotu v stupu. Toto łeen vak vyaduje œpln dekodør vech stavø vstupø a velkø mnostv programovateln ch bun k. V sledkem je vy cena a n zkæ rychlost. Pro mnoho funkc je monø dekodør zjednoduit a rozd lit. Nen tłeba dek dovat stavy, kdy je v stup nepravdiv a je monø sloułit mintermy, kterø se li pouze v hodnot jednoho vstupu ( napł klad pomoc Karnaughov ch map ). Tento postup lze opakovat in kolikræt. T m se ukazuje, e je pro programovæn funkc, vhodn j programovat dekodør kombinac vstupø. Tento dekodør je realizovæn polem N. V vstupn linky B pouitæ propojka = soułinov term - soułinovæ linka pevnæ propojka propælenæ propojka

3 SlouŁen vech pravdiv ch kombinac na jeden nebo v ce v stupø se provæd polem OR. vstupy B OR pole termy 8 N pole OE Y0 Y Y Y Y Y Y Y Na obræzku je viditelnæ obecnæ strukture kombinałn ho obvodu. Ærkovan je naznałena monæ zp tnæ vazba z v stupu Y0 do pole N. Podle monosti programovat N a OR pole lze obvody rozd lit. Typ obvodu Pole N programuje Pole OR programuje ROM v robce v robce PROM a EPROM v robce uivatel PL - prog. arr. logic uivatel v robce FPL - field. p. log. arrays uivatel uivatel

4 Na dal m obræzku je uveden pł klad naprogramovanø logickø funkce v obvodu typu pal s jedn m v stupem. vstupy B Y= B+ B V mnoha pł padech je nutnø nevyuitø termy ( vstupy ŁÆsti OR ) płipojit k zdroji nepravdivøho stavu. Toho lze doc lit soułinem signælu s jeho negac. N kterø obvody maj speciæln propojky pro płipojen termu k nepravdivømu stavu. _ B _ B 0 0 Y monø zpøsoby vyblokovæn nevyuit ch termø ZnaŁen obvodø typu PL PL L 8-0 zpod n v ns połet v stupø typ v stupn ch obvodø połet vstupø do pole N Obvody PL maj programovatelnø pole N a pevn naprogramovanø pole OR tvoł c vdy soułet n kolika sousedn ch termø płiveden do v stupn ho obvodu. Æle næsleduj oznałen jednotliv ch typø v stupn ch obvodø płipojen ch k poli OR.: L H R P V S kombinałn v stup aktivn v n zkø œrovni kombinałn v stup aktivn ve vysokø œrovni v stupn registr se spolełn m hodinov m signælem complementary - ob dv œrovn v stupu programovatelnø L nebo H pøvodn v znam prom nn połet soułinov ch termø jako R, ale asynchronn taktovanø, vdy jeden term pro LK sharing - vyuit termu pro dva v stupy nebo sd len mezi sousedy Pł klad: PL L 8 8 v stupø aktivn ch v L, soułinov ch termø pro jeden v stup a jeden soułinov term pro OE, ł d płechod v stupu z neaktivn ho do aktivn ho stavu.

5 NÆsleduje obræzek obvodu PL L 8. Zaj mavæ je odlinost zapojen pinø a. Piny 0 ( GN ) a 0 ( V ) jsou pouity pro napæjen obvodu PL L 8 PL R 8 Obvody GL ( generic array logic ) Tyto obvody załala jako prvn vyræb t firma LTIE. JednÆ se o næhradu tøm ł vech obvodø PL. K programovatelnø ŁÆsti pole N byla płidæna monost volby typu v stupn logiky. KonfigurovatelnØ v stupn obvody jsou oznałeny jako OLM ( Output Logic Macroell ). Byla takø zm n na technologie programovæn. M sto jednoræzov propalovac ch propojek byla pouita technologie EEPROM. Obvody je tedy monø elektricky programovat a v pł pad nutnosti zm n je monø elektrick m vymazæn m uvøst obvod do nenaprogramovanøho stavu. Stav propojek je monø v kontroln m reimu i Ł st. Po kontrole maprogramovæn je monø obvod zajistit proti monosti Łten. Obvod nemæ ÆdnØ zvlætn vstupy urłenø k programovæn. o programovac ho stavu se uvede zv en m nap t na jednom z logick ch vstupø na, V. PotØ je vybræn łædek programovac matice płiveden m kombinace logick ch signælø na dal vstupy. ata jsou zapisovæna a Łtena søriov.

6 Nejroz łen j je obvod GL V 8. Je zapouzdłen v œzkøm pouzdłe s 0 v vody, z nich dva jsou napæjec ( 0 GN, 0 V ), osm pł mo vstupuj c ch do pole N ( a 9 ), dal ch osm v stupn ch ( a 9 ). Funkce zbyl ch dvou v vodø je zævislæ na konfiguraci obvodu. Mohou b t pouity jako nezævislø vstupy nebo jako vybavovac ( OE ) a hodinov ( LK ) vstup. a 8 v stupø je takø podle konfigurace zavedeno zp t do pole N. al obvod GL0V8 zapouzdłen v v vodovøm pouzdłe je pouze roz łen proti GLV8 o monost dal ch Łtuł vstupø. Obvody GL8V0 ( 0 v vodø ), GL0V0 ( v vodø ) a GLV0 ( 8 v vodø ) se odliuj velikost pole N a od obvodu GLV8 se li mon mi konfiguracemi v stupn makrobu ky a monost asynchronn ho nulovæn a synchron ho nastaven v stupn ch klopn ch obvodø pomoc dvou v stupø pole N. Z dal ch modifikac obvodø GL jsou zaj mavø obvody ispeepl ( in-system programmable EEPL ), u kter ch je monø pomoc programovac ch vstupø m nit funkci pł mo ve provozn m zapojen. Pł kladem je obvod ispglv8 a ispglv0. Pro aplikace vyaduj c n zk pł kon se klasickø obvody GL pł li nehod, klidov odb r Łin asi 0 m. Existuj vak obvody ve verz ch low pover ( 0 m ) a ve verz ch zerro power, kterø v klidovøm stavu odeb raj jen n kolik µ. Napł klad EPL obvod PLE8V8. Tento obvod obsahuje propojky tvołenø technologi EPROM. Pro søriovou v robu je dodævæna levnæ verze bez mazac ho okønka ( OTP - one time programmable ). JEE soubory JednÆ se o standartn formæt pro płenos informace o logickøm nævrhu propojek a konfigurac programovatelnøho obvodu z E programø do programætoru logick ch obvodø. ata souboru zał naj za komentæłem znakem STX ( 0h ). JednotlivÆ pole jsou ukonłena kombinac * (h) a koncem łædky (0h 0h). ata zał naj informac o typu obvodu. al pole : N@ slo_pinu* płiłazen næzvu pinu G0* nebo G* nastaven, nulovæn ochrany proti płełten QFpoŁet_programovateln ch_bitø* informace o velikosti programovatelnø pam ti QPpoŁet_pinø* połet v vodø pouzdra Ldddd bbbbbbbbbb* hodnoty jednotliv ch progamovateln ch bitø dddd decimæln adresa b poadovanæ hodnota bitu, połet je voliteln a pole lze rozdelit na v ce œsekø ata jsou ukonłena znakem ETX (0h). Za n m næsleduje hexadecimæln zapsan kontroln soułet. Æle næsleduje zkræcen v pis JEE souboru vytvołen programem OPL. PLV8 QP0* QF9* G0* F0* L0000 * L *

7 L * L *... L * L * L * L * L * L * L * L9 0* F*

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

Vyuit biodegradabiln ho odpadu kompostovæn m

Vyuit biodegradabiln ho odpadu kompostovæn m Vyuit biodegradabiln ho odpadu kompostovæn m Petr alud vod Pro næ stæt je charakteristickø, e pevnø odpady se a na v jimky prozat m płed znekodn n m netł d. Ve spalovnæch a na sklædkæch pak dochæz ke ztræt

Více

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ 3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné v souhlasu se zadanou logickou funkcí.

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Způsoby realizace paměťových prvků

Způsoby realizace paměťových prvků Způsoby realizace paměťových prvků Interní paměti jsou zapojeny jako matice paměťových buněk. Každá buňka má kapacitu jeden bit. Takováto buňka tedy může uchovávat pouze hodnotu logická jedna nebo logická

Více

1. Jaká je závislost proudu polovodičovým přechodem P-N na přiloženém napětí? 2. Co je základním polotovarem na výrobu běžných integrovaných obvodů

1. Jaká je závislost proudu polovodičovým přechodem P-N na přiloženém napětí? 2. Co je základním polotovarem na výrobu běžných integrovaných obvodů 1. Jaká je závislost proudu polovodičovým přechodem P-N na přiloženém napětí? Závislost proudu je exponenciálně závislá mj. na poměru přiloženého napětí a absolutní teploty přechodu. 2. Co je základním

Více

6 až 18V střídavých. Tabulka přednastavených hodnot délky nabíjení a nabíjecích proudů pro některé typy baterií.

6 až 18V střídavých. Tabulka přednastavených hodnot délky nabíjení a nabíjecích proudů pro některé typy baterií. stavební návod: STANDARDNÍ NABÍJEČKA Základem Standardní nabíječky je především naprosto standardní způsob nabíjení. Tento starý a lety odzkoušený způsob spočívá v nabíjení baterie konstantním proudem

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Ne vždy je sběrnice obousměrná

Ne vždy je sběrnice obousměrná PAMĚTI Ne vždy je sběrnice obousměrná Paměti ROM (Read Only Memory) určeny pouze pro čtení informací. Informace jsou do těchto pamětí pevně zapsány při jejich výrobě a potom již není možné žádným způsobem

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Paměti počítače ROM, RAM

Paměti počítače ROM, RAM Paměti počítače ROM, RAM Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje. Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru

Více

Ekonomika a financovæn procesu v roby bioplynu.

Ekonomika a financovæn procesu v roby bioplynu. Ekonomika a financovæn procesu v roby bioplynu. Jaime Munoz vod. Ekonomika jako v znamn prvek ovliv uj c spolełenskø hodnoty velmi podmi uje Łinnost v robn ch faktorø a stævæ se pro n a rozhoduj c. VhodnØ

Více

Informační a komunikační technologie

Informační a komunikační technologie Informační a komunikační technologie 7. www.isspolygr.cz Vytvořil: Ing. David Adamovský Strana: 1 Škola Integrovaná střední škola polygrafická Ročník Název projektu 1. ročník SOŠ Interaktivní metody zdokonalující

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 24 Vnitřní paměti

Více

Zákaznické obvody 1. ASIC 2. PLD 3. FPGA. Ondřej Novák O. Novák: CIE9 1

Zákaznické obvody 1. ASIC 2. PLD 3. FPGA. Ondřej Novák O. Novák: CIE9 1 Zákaznické obvody Ondřej Novák 1. ASIC 2. PLD 3. FPGA 30.1.2013 O. Novák: CIE9 1 Dělení IO podle způsobu funkce analogové (lineární) číslicové (logické) podle stupně integrace SSI, do 200 hradel, řada

Více

Katedra obecné elektrotechniky Fakulta elektrotechniky a informatiky, VŠB - TU Ostrava 16. ZÁKLADY LOGICKÉHO ŘÍZENÍ

Katedra obecné elektrotechniky Fakulta elektrotechniky a informatiky, VŠB - TU Ostrava 16. ZÁKLADY LOGICKÉHO ŘÍZENÍ Katedra obecné elektrotechniky Fakulta elektrotechniky a informatiky, VŠB - TU Ostrava 16. ZÁKLADY LOGICKÉHO ŘÍZENÍ Obsah 1. Úvod 2. Kontaktní logické řízení 3. Logické řízení bezkontaktní Leden 2006 Ing.

Více

Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns ms rychlost toku dat (tj. počet přenesených bitů za sekundu)

Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns ms rychlost toku dat (tj. počet přenesených bitů za sekundu) Paměti Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns...100 ms rychlost toku dat (tj. počet přenesených bitů za sekundu) kapacita paměti (tj. počet bitů, slabik, slov) cena

Více

Paměti počítače 9.přednáška

Paměti počítače 9.přednáška Paměti počíta tače 9.přednáška Paměť Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na

Více

DUM č. 10 v sadě. 31. Inf-7 Technické vybavení počítačů

DUM č. 10 v sadě. 31. Inf-7 Technické vybavení počítačů projekt GML Brno Docens DUM č. 10 v sadě 31. Inf-7 Technické vybavení počítačů Autor: Roman Hrdlička Datum: 04.12.2013 Ročník: 1A, 1B, 1C Anotace DUMu: jak fungují vnitřní paměti, typy ROM a RAM pamětí,

Více

PAMĚTI ROM, RAM, EPROM, EEPROM

PAMĚTI ROM, RAM, EPROM, EEPROM Elektronická paměť je součástka, zařízení nebo materiál, který umožní uložit obsah informace (zápis do paměti), uchovat ji po požadovanou dobu a znovu ji získat pro další použití (čtení paměti). Informace

Více

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru jsou používány

Více

4.2 Paměti PROM - 87 - NiCr. NiCr. Obr.140 Proudy v naprogramovaném stavu buňky. Obr.141 Princip PROM. ADRESOVÝ DEKODÉR n / 1 z 2 n

4.2 Paměti PROM - 87 - NiCr. NiCr. Obr.140 Proudy v naprogramovaném stavu buňky. Obr.141 Princip PROM. ADRESOVÝ DEKODÉR n / 1 z 2 n Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

Obvodová ešení snižujícího m ni e

Obvodová ešení snižujícího m ni e 1 Obvodová ešení snižujícího m ni e (c) Ing. Ladislav Kopecký, únor 2016 Obr. 1: Snižující m ni princip Na obr. 1 máme základní schéma zapojení snižujícího m ni e. Jeho princip byl vysv tlen v lánku http://free-energy.xf.cz\teorie\dc-dc\buck-converter.pdf

Více

48. Pro RC oscilátor na obrázku určete hodnotu R tak, aby kmitočet oscilací byl 200Hz

48. Pro RC oscilátor na obrázku určete hodnotu R tak, aby kmitočet oscilací byl 200Hz 1. Který ideální obvodový prvek lze použít jako základ modelu napěťového zesilovače? 2. Jaké obvodové prvky tvoří reprezentaci nesetrvačných vlastností reálného zesilovače? 3. Jak lze uspořádat sčítací

Více

NÁVOD K OBSLUZE MODULU VIDEO 64 ===============================

NÁVOD K OBSLUZE MODULU VIDEO 64 =============================== NÁVOD K OBSLUZE MODULU VIDEO 64 =============================== Modul VIDEO 64 nahrazuje v počítači IQ 151 modul VIDEO 32 s tím, že umožňuje na obrazovce připojeného TV monitoru nebo TV přijímače větší

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Karel Johanovský Michal Bílek. Operační paměť

Karel Johanovský Michal Bílek. Operační paměť Karel Johanovský Michal Bílek SPŠ-JIA Operační paměť 1 3 SO- Paměti - úvodem Paměti můžeme dělit dle různých kritérií: podle přístupu k buňkám paměti podle možnosti změny dat podle technologie realizace

Více

Paměti Josef Horálek

Paměti Josef Horálek Paměti Josef Horálek Paměť = Paměť je pro počítač životní nutností = mikroprocesor z ní čte programy, kterými je řízen a také do ní ukládá výsledky své práce = Paměti v zásadě můžeme rozdělit na: = Primární

Více

UNIPOLÁRNÍ TRANZISTOR

UNIPOLÁRNÍ TRANZISTOR UNIPOLÁRNÍ TRANZISTOR Unipolární tranzistor neboli polem řízený tranzistor, FET (Field Effect Transistor), se stejně jako tranzistor bipolární používá pro zesilování, spínání signálů a realizaci logických

Více

CL232. Převodník RS232 na proudovou smyčku. S galvanickým oddělením, vysokou komunikační rychlostí a se zvýšenou odolností proti rušení

CL232. Převodník RS232 na proudovou smyčku. S galvanickým oddělením, vysokou komunikační rychlostí a se zvýšenou odolností proti rušení Převodník RS232 na proudovou smyčku S galvanickým oddělením, vysokou komunikační rychlostí a se zvýšenou odolností proti rušení 28. dubna 2011 w w w. p a p o u c h. c o m CL232 Katalogový list Vytvořen:

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod Operační paměť

Více

Prezentace do předmětu Architektury a použití programovatelných obvodů 2

Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Složité a méně běžné obvody PAL, sekvencery Obvody typu PAL jsou jedním z typů programovatelných logických obvodů (PLD). Jsou to

Více

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013 Paměti Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 1 Paměti - základní pojmy

Více

Sb r a tł d n bioodpadø - zkuenosti firmy SSI SCH FER.

Sb r a tł d n bioodpadø - zkuenosti firmy SSI SCH FER. Sb r a tł d n bioodpadø - zkuenosti firmy SSI SCH FER. Jił N mec Podle statistick ch zdrojø obsahuj domovn odpady v N mecku tøm ł 40% bioodpadø. Płi rołn m v skytu 29 mili nø tun domovn ch odpadø (rok

Více

Paměti. Přednáška 7,8 - Paměti - tento materiál slouží pouze jako grafický podklad k přednášce a neposkytuje

Paměti. Přednáška 7,8 - Paměti - tento materiál slouží pouze jako grafický podklad k přednášce a neposkytuje Paměti Přednáška 7,8 - Paměti - tento materiál slouží pouze jako grafický podklad k přednášce a neposkytuje samostatný a úplný výklad X38MIP -2010, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Paměti -

Více

Paměti, přednáška 7 a 8. studenty zapsané v předmětu: A3B38MMP a X38MIP, ČVUT- FEL, katedra měření, přednášející Jan Fischer

Paměti, přednáška 7 a 8. studenty zapsané v předmětu: A3B38MMP a X38MIP, ČVUT- FEL, katedra měření, přednášející Jan Fischer Paměti, přednáška 7 a 8 v. 2011 Materiál je určen jako pomocný materiál pouze pro studenty zapsané v předmětu: A3B38MMP a X38MIP, ČVUT- FEL, katedra měření, přednášející Jan Fischer Jan Fischer, 2011 1

Více

Technické podmínky a návod k použití detektoru GC20R

Technické podmínky a návod k použití detektoru GC20R Technické podmínky a návod k použití detektoru GC20R Detektory typu GC20R jsou stacionární elektronické přístroje určené k detekci přítomnosti chladiva ve vzduchu Jejich úkolem je včasné vyslání signálu

Více

Obr. 1 Jednokvadrantový proudový regulátor otáček (dioda plní funkci ochrany tranzistoru proti zápornému napětí generovaného vinutím motoru)

Obr. 1 Jednokvadrantový proudový regulátor otáček (dioda plní funkci ochrany tranzistoru proti zápornému napětí generovaného vinutím motoru) http://www.coptkm.cz/ Regulace otáček stejnosměrných motorů pomocí PWM Otáčky stejnosměrných motorů lze řídit pomocí stejnosměrného napájení. Tato plynulá regulace otáček motoru však není vhodná s energetického

Více

FYZIKA 2. ROČNÍK. Elektrický proud v kovech a polovodičích. Elektronová vodivost kovů. Ohmův zákon pro část elektrického obvodu

FYZIKA 2. ROČNÍK. Elektrický proud v kovech a polovodičích. Elektronová vodivost kovů. Ohmův zákon pro část elektrického obvodu FYZK. OČNÍK a polovodičích - v krystalové mřížce kovů - valenční elektrony - jsou společné všem atomům kovu a mohou se v něm volně pohybovat volné elektrony Elektronová vodivost kovů Teorie elektronové

Více

Paměti RAM. Paměť RAM.

Paměti RAM. Paměť RAM. Paměti RAM První skupinou pamětí, kterým se budeme věnovat jsou paměti RAM. Jak jsme si o nich řekli již minule, liší se od pamětí ROM hlavně rozdílnými nároky na napájení. Paměti typu RAM si pamatují

Více

Opakovač sériového rozhraní RS-485 ELO E123 Pro Profibus Uživatelský manuál

Opakovač sériového rozhraní RS-485 ELO E123 Pro Profibus Uživatelský manuál Opakovač sériového rozhraní RS-485 ELO E123 Pro Profibus Uživatelský manuál 1.0 Úvod... 3 1.1 Použití opakovače... 3 2.0 Principy činnosti... 3 3.0 Instalace... 4 3.1 Připojení rozhraní RS-485... 4 3.2

Více

PROTOKOL O LABORATORNÍM CVIČENÍ

PROTOKOL O LABORATORNÍM CVIČENÍ STŘEDNÍ PRŮMYSLOVÁ ŠKOLA V ČESKÝCH BUDĚJOVICÍCH, DUKELSKÁ 13 PROTOKOL O LABORATORNÍM CVIČENÍ Provedl: Jan Kotalík Datum: 3.1. 2010 Číslo: Kontroloval/a Datum: 1. ÚLOHA: Návrh paměti Pořadové číslo žáka:

Více

LPS2. Sada pro synchronní komunikaci na 1000m s galvanickým oddělením. 15. října 2010 w w w. p a p o u c h. c o m

LPS2. Sada pro synchronní komunikaci na 1000m s galvanickým oddělením. 15. října 2010 w w w. p a p o u c h. c o m Sada pro synchronní komunikaci na 1000m s galvanickým oddělením 15. října 2010 w w w. p a p o u c h. c o m LPS2 Katalogový list Vytvořen: 30.8.2005 Poslední aktualizace: 15.10.2010 8:00 Počet stran: 8

Více

19 Jednočipové mikropočítače

19 Jednočipové mikropočítače 19 Jednočipové mikropočítače Brzy po vyzkoušení mikroprocesorů ve výpočetních aplikacích se ukázalo, že se jedná o součástku mnohem universálnější, která se uplatní nejen ve výpočetních, ale i v řídicích

Více

Elektronický tlakový spínač TSE

Elektronický tlakový spínač TSE Elektronický tlakový spínač TSE HC 90 /010 p max do 400 bar Elektronický tlakový spínač TSE Pro monitorování tlaku v obvodu a sepnutí signalizace po dosažení nastavených hodnot tlaku Membrána z nerezové

Více

MĚŘENÍ PŘENOSOVÉ RYCHLOSTI PAMĚTÍ FLASH

MĚŘENÍ PŘENOSOVÉ RYCHLOSTI PAMĚTÍ FLASH MĚŘENÍ PŘENOSOVÉ RYCHLOSTI PAMĚTÍ FLASH Lukáš Pelant ČVUT FEL v Praze, katedra radioelektroniky Abstrakt Paměti Flash jsou poměrně novým záznamovým zařízením. V příspěvku je uvedena problematika pamětí

Více

Číslicová technika 3 učební texty (SPŠ Zlín) str.: - 1 -

Číslicová technika 3 učební texty (SPŠ Zlín) str.: - 1 - Číslicová technika učební texty (SPŠ Zlín) str.: - -.. ČÍTAČE Mnohá logická rozhodnutí jsou založena na vyhodnocení počtu opakujících se jevů. Takovými jevy jsou např. rychlost otáčení nebo cykly stroje,

Více

SPOJE ŠROUBOVÉ. Mezi nejdůleţitější geometrické charakteristiky závitů patří tyto veličiny:

SPOJE ŠROUBOVÉ. Mezi nejdůleţitější geometrické charakteristiky závitů patří tyto veličiny: SPOJE ŠROUBOVÉ Šroubové spoje patří mezi nejstarší a nejpoužívanější rozebíratelné spoje se silovým stykem. Všechny spojovací součástky šroubových i ostatních rozebíratelných spojů jsou normalizované.

Více

Problematika elektronick ch periodik na Internetu Zkuenosti s vydævæn m elektronickøho Łasopisu Ikaros

Problematika elektronick ch periodik na Internetu Zkuenosti s vydævæn m elektronickøho Łasopisu Ikaros Problematika elektronick ch periodik na Internetu Zkuenosti s vydævæn m elektronickøho Łasopisu Ikaros Petra JedliŁkovÆ, Ikaros I. Co se rozum pod pojmem elektronickø publikovæn S v vojem technologi a

Více

OBSLUHOVACÍ ŘÁD. Anna

OBSLUHOVACÍ ŘÁD. Anna České dráhy, a.s. JEN PRO SLUŽEBNÍ POTŘEBU OBSLUHOVACÍ ŘÁD pro hlásku Anna Účinnost od: 3. 11. 2005. Václav Červenka v.r.. dopravní kontrolor Schválil: č.j: 1765/2005-S dne 31. 10. 2005 Bc Jaroslav Maršík

Více

Žáci mají k dispozici pracovní list. Formou kolektivní diskuze a výkladu si osvojí grafickou minimalizaci zápisu logické funkce

Žáci mají k dispozici pracovní list. Formou kolektivní diskuze a výkladu si osvojí grafickou minimalizaci zápisu logické funkce Číslo projektu Číslo materiálu Název školy Autor Název Téma hodiny Předmět Ročník /y/ CZ.1.07/1.5.00/34.0394 VY_32_INOVACE_9_ČT_1.09_ grafická minimalizace Střední odborná škola a Střední odborné učiliště,

Více

Manuální, technická a elektrozručnost

Manuální, technická a elektrozručnost Manuální, technická a elektrozručnost Realizace praktických úloh zaměřených na dovednosti v oblastech: Vybavení elektrolaboratoře Schématické značky, základy pájení Fyzikální principy činnosti základních

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

RKM 03 JEDNOTKA ŘÍZENÍ KROKOVÝCH MOTORŮ. Příručka uživatele AUTOMATIZAČNÍ TECHNIKA

RKM 03 JEDNOTKA ŘÍZENÍ KROKOVÝCH MOTORŮ. Příručka uživatele AUTOMATIZAČNÍ TECHNIKA RKM 03 JEDNOTKA ŘÍZENÍ KROKOVÝCH MOTORŮ Příručka uživatele R AUTOMATIZAČNÍ TECHNIKA Střešovická 49, 162 00 Praha 6, e-mail: s o f c o n @ s o f c o n. c z tel./fax : (02) 20 61 03 48 / (02) 20 18 04 54,

Více

GMI 3.4 - Generátor minutových impulsů pro řízení podnikových hodin

GMI 3.4 - Generátor minutových impulsů pro řízení podnikových hodin GMI 3.4 - Generátor minutových impulsů pro řízení podnikových hodin (konstrukční a servisní dokumentace pro HW GMI 3.4 a SW GMI_3_4.asm) ( Martin Pantůček 12.12.2009, revize 14.1.2010) Tato dokumentace

Více

ÚAMT FEKT VUT. mikroprocesor Rabbit. Diplomová prá ce. Tomá škreuzwieser. Brno 2004. Email: kreuzwieser@kn.vutbr.cz

ÚAMT FEKT VUT. mikroprocesor Rabbit. Diplomová prá ce. Tomá škreuzwieser. Brno 2004. Email: kreuzwieser@kn.vutbr.cz ÚAMT FEKT VUT Zá znamová karta pro mikroprocesor Rabbit Diplomová prá ce Tomá škreuzwieser Email: kreuzwieser@kn.vutbr.cz Brno 2004 Osnova prezentace Cíl mé prá ce (zadá ní) Proč jsou pamě ťové karty důležité

Více

10 je 0,1; nebo taky, že 256

10 je 0,1; nebo taky, že 256 LIMITY POSLOUPNOSTÍ N Á V O D Á V O D : - - Co to je Posloupnost je parta očíslovaných čísel. Trabl je v tom, že aby to byla posloupnost, musí těch čísel být nekonečně mnoho. Očíslovaná čísla, to zavání

Více

Regulovaný vysokonapěťový zdroj 0 až 30 kv

Regulovaný vysokonapěťový zdroj 0 až 30 kv http://www.coptkm.cz/ Regulovaný vysokonapěťový zdroj 0 až 30 kv Popis zapojení V zapojení jsou dobře znatelné tři hlavní části. První z nich je napájecí obvod s regulátorem výkonu, druhou je pak následně

Více

Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009

Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 4.3 HŘÍDELOVÉ SPOJKY Spojky jsou strojní části, kterými je spojen hřídel hnacího ústrojí s hřídelem ústrojí

Více

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury úvod Programovatelné obvodyřadíme mezi univerzální logické bloky, resp. programovatelné logické moduly. Obecné označení

Více

Téma 32. Petr Kotál (kotal.p@seznam.cz)

Téma 32. Petr Kotál (kotal.p@seznam.cz) Téma 32 Petr Kotál (kotal.p@seznam.cz) Zadání: Realizační prvky logických obvodů. Logický řídící systém, řadič řídícího systému. Mikroprogramové automaty, volně programovatelné automaty PLC, mikropočítačové

Více

pro inkrementální a absolutní snímače

pro inkrementální a absolutní snímače TYP Z58 Univerzální indikace polohy pro inkrementální a absolutní snímače připojení pro inkrementální nebo absolutní systémy (FMAX, FEMAX, EMAX) osvědčené standardní funkce (např. opravný a multiplikační

Více

Paměti počítačů. Studijní materiál pro předmět Architektury počítačů

Paměti počítačů. Studijní materiál pro předmět Architektury počítačů Paměti počítačů Studijní materiál pro předmět Architektury počítačů Ing. Petr Olivka Martin Krčmář katedra informatiky FEI VŠB-TU Ostrava email: petr.olivka@vsb.cz Ostrava, 2010 1 1 Paměti počítačů 1.1

Více

Multimetr: METEX M386OD (použití jako voltmetr V) METEX M389OD (použití jako voltmetr V nebo ampérmetr A)

Multimetr: METEX M386OD (použití jako voltmetr V) METEX M389OD (použití jako voltmetr V nebo ampérmetr A) 2.10 Logické Obvody 2.10.1 Úkol měření: 1. Na hradle NAND změřte tyto charakteristiky: Převodní charakteristiku Vstupní charakteristiku Výstupní charakteristiku Jednotlivá zapojení nakreslete do protokolu

Více

stavební návod: INFRAOVLADAČ IR-1

stavební návod: INFRAOVLADAČ IR-1 stavební návod: INFRAOVLADAČ IR-1 Infraovladač IR-1 slouží pro ovládání libovolných přístrojů pomocí infračerveného paprsku (jako běžné dálkové ovladače). Do infraovladače IR-1 můžeme naprogramovat příkazy

Více

Popis zapojení a návod k osazení desky plošných spojů STN-DV2

Popis zapojení a návod k osazení desky plošných spojů STN-DV2 Popis zapojení a návod k osazení desky plošných spojů STN-DV2 Příklad osazení A Příklad osazení B Příklad osazení C STN-DV2 je aplikací zaměřenou především na návěstidla, případně cívkové přestavníky výměn.

Více

OBEC PETKOVY, okres Mladá Boleslav. Obecně závazná vyhláška obce Petkovy č. 1/2013

OBEC PETKOVY, okres Mladá Boleslav. Obecně závazná vyhláška obce Petkovy č. 1/2013 OBEC PETKOVY, okres Mladá Boleslav Obecně závazná vyhláška obce Petkovy č. 1/2013 o systému shromažďování, sběru, přepravy, třídění, využívání a odstraňování komunálních odpadů a o místním poplatku za

Více

TABULKY PRO DIMENZOVÁNÍ VODIČŮ

TABULKY PRO DIMENZOVÁNÍ VODIČŮ TABULKY PRO DIMENZOVÁNÍ VODIČŮ Výběr z norem: [1] ČSN 33 2000-5-523 Elektrická zařízení Část 5 Výběr a stavba elektrických zařízení Oddíl 523 Dovolené proudy [2] ČSN 33 2000-4-473 Elektrická zařízení Část

Více

Modelová úloha Splachovač

Modelová úloha Splachovač Modelová úloha Splachovač Zadání 1. Seznamte se s funkcí modelu Splachovač. 2. Seznamte se s programem AL-PCS/WIN-E a jeho možnostmi při programování. 3. Modifikujte stávající verzi programu pro řízení

Více

Paralelní systémy. SIMD jeden tok instrukcí + více toků dat jedním programem je zpracováváno více různých souborů dat

Paralelní systémy. SIMD jeden tok instrukcí + více toků dat jedním programem je zpracováváno více různých souborů dat Paralelní systémy Paralelním systémem rozumíme takový systém, který paralelně zpracovává více samostatných úloh nebo zpracování určité úlohy automaticky rozdělí do menších částí a paralelně je zpracovává.

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

ALLEX FX Programovatelný logický automat. Katalogový list. říjen 2007. Programovatelné logické automaty

ALLEX FX Programovatelný logický automat. Katalogový list. říjen 2007. Programovatelné logické automaty ALLEX FX Programovatelný logický automat Katalogový list říjen 27 Programovatelné logické automaty Důležité poznámky Copyright 27 HYPEL. Všechna práva vyhrazena. ALLEX FX Programovatelný logický automat

Více

Mikrořadiče fy ATMEL

Mikrořadiče fy ATMEL Mikrořadiče fy ATMEL Struktura mikrořadičů fy ATMEL progresivní typy AVR je navržena tak, aby co nejvíce vyhovovala i překladačům vyšších programovacích jazyků, zejména široce používaného jazyka C. Optimalizované

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod

Více

Oblastní stavební bytové družstvo, Jeronýmova 425/15, Děčín IV

Oblastní stavební bytové družstvo, Jeronýmova 425/15, Děčín IV Oblastní stavební bytové družstvo, Jeronýmova 425/15, Děčín IV Směrnice pro vyúčtování služeb spojených s bydlením Platnost směrnice: - tato směrnice je platná pro městské byty ve správě OSBD, Děčín IV

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

PROGRAMOVATELNÁ LOGICKÁ POLE

PROGRAMOVATELNÁ LOGICKÁ POLE PROGRAMOVATELNÁ LOGICKÁ POLE Programovatelné součástky a zejména hradlová pole jsou velmi důležité prvky dnešní elektroniky. Díky nim si každý může vyrobit vlastní zákaznický integrovaný obvod šitý přesně

Více

- regulátor teploty vratné vody se záznamem teploty

- regulátor teploty vratné vody se záznamem teploty - regulátor teploty vratné vody se záznamem teploty Popis spolu s ventilem AB-QM a termelektrickým pohonem TWA-Z představují kompletní jednotrubkové elektronické řešení: AB-QTE je elektronický regulátor

Více

Vývoj výpočetní techniky. Rozdělení počítačů. Blokové schéma počítače

Vývoj výpočetní techniky. Rozdělení počítačů. Blokové schéma počítače Vývoj výpočetní techniky Jednotlivé etapy ve vývoji počítačů se nazývaly generace jsou charakterizovány dobou vzniku, součástkami. 0. generace MARK 1 na bázi relé (1944). 1. generace postavené z elektronek

Více

ÚPRAVNA VODY ZAJEČÍ - INTENZIFIKACE A REKONSTRUKCE

ÚPRAVNA VODY ZAJEČÍ - INTENZIFIKACE A REKONSTRUKCE - - - - Revize Datum revize Schválil Vedoucí projektu Ing. Petr Baránek Paré: Zástupce vedoucího projektu Zodpovědný projektant Vypracoval Kontroloval Investor Objednatel Ing. Roman Wognitsch Ing. Petr

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

7. Stropní chlazení, Sálavé panely a pasy - 1. část

7. Stropní chlazení, Sálavé panely a pasy - 1. část Základy sálavého vytápění (2162063) 7. Stropní chlazení, Sálavé panely a pasy - 1. část 30. 3. 2016 Ing. Jindřich Boháč Obsah přednášek ZSV 1. Obecný úvod o sdílení tepla 2. Tepelná pohoda 3. Velkoplošné

Více

Mikroprocesor Intel 8051

Mikroprocesor Intel 8051 Mikroprocesor Intel 8051 Představení mikroprocesoru 8051 Mikroprocesor as jádrem 8051 patří do rodiny MSC51 a byl prvně vyvinut firmou Intel v roce 1980, což znamená, že zanedlouho oslaví své třicáté narozeniny.

Více

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

Architektura procesoru Athlon 64 X2

Architektura procesoru Athlon 64 X2 Architektura procesoru Athlon 64 X2 Athlon 64 X2 je prvním dvoujádrovým procesorem od firmy AMD, určeným pro domácí využití. Tento procesor byl papírově oznámen 21.dubna 2005. V tento den byly oficiálně

Více

O B S A H. Textová část. Výkresová část. Rekonstrukce sociálních zařízení. Obsah: Obsah:

O B S A H. Textová část. Výkresová část. Rekonstrukce sociálních zařízení. Obsah: Obsah: O B S A H Textová část Obsah: 1. Základní údaje o stavbě... 2 2. Zabezpečení objektu hlavními energiemi a vodním hospodářstvím... 4 3. Technické řešení stavební část... 4 4. Zdravotechnika... 7 5. Elektroinstalace...

Více

BIOS (BASIC INPUT-OUTPUT SYSTEM)

BIOS (BASIC INPUT-OUTPUT SYSTEM) Implemantace základních vstupně-výstupních funkcí, tzn firmware Využívá se pro inicializaci a konfiguraci připojených hardwarových zařízení a pro spuštění zavaděče operačního systému, Dříve používán i

Více

VYSOKÁ ŠKOLA POLYTECHNICKÁ JIHLAVA Katedra elektrotechniky a informatiky Obor: Počítačové systémy. Programátor obvodů GAL16V8

VYSOKÁ ŠKOLA POLYTECHNICKÁ JIHLAVA Katedra elektrotechniky a informatiky Obor: Počítačové systémy. Programátor obvodů GAL16V8 VYSOKÁ ŠKOLA POLYTECHNICKÁ JIHLAVA Katedra elektrotechniky a informatiky Obor: Počítačové systémy Programátor obvodů GAL16V8 bakalářská práce Autor: Miroslav Bílek Vedoucí práce: Ing.Bc. David Matoušek

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

Ovládač revizní jízdy ORJE

Ovládač revizní jízdy ORJE Ovládač revizní jízdy ORJE Návod k montáži a obsluze Vydání: 3. Počet stran: 6 TT TELSYS, a.s. Tel: 234 052 222 Úvalská 1222/32, 100 00 Praha 10 Fax: 234 052 233 Internet http://www.ttc-telsys.cz E-mail:

Více

Dodavatel. Hlavní sídlo ve Valence, Francie Společnost založena v roce 1921. www.buco.sk

Dodavatel. Hlavní sídlo ve Valence, Francie Společnost založena v roce 1921. www.buco.sk Dodavatel Hlavní sídlo ve Valence, Francie Společnost založena v roce 1921 www.buco.sk 184 Standardní moduly Millenia 3 str. 186 Rozšiřitelné moduly str. 188 Rozšiřovací moduly pro XD10 a XD26 str. 1 Automatizační

Více

PROGRAMOVATELNÉ LOGICKÉ PRVKY

PROGRAMOVATELNÉ LOGICKÉ PRVKY Vysoká škola báňská Technická univerzita Ostrava PROGRAMOVATELNÉ LOGICKÉ PRVKY Studijní opora Jaroslav Zdrálek Ostrava 2007 Recenze: Ing. Zdeňka Chmelíková, Ph.D. Název: Programovatelné logické prvky,

Více

PCM30U Řízení, dohled, synchronizace

PCM30U Řízení, dohled, synchronizace PCM0U Řízení, dohled, synchronizace TTC Telekomunikace, s.r.o. Třebohostická, 00 00, Praha 0 Česká republika tel: +0 0, fax: +0 0 999 e-mail: pcm0u@ttc.cz web: http://www.ttc.cz Dok. č. CS.90..N0 00 Právo

Více

Školní kolo soutěže Mladý programátor 2016, kategorie A, B

Školní kolo soutěže Mladý programátor 2016, kategorie A, B Doporučené hodnocení školního kola: Hodnotit mohou buď učitelé školy, tým rodičů nebo si žáci, kteří se zúčastní soutěže, mohou ohodnotit úlohy navzájem sami (v tomto případě doporučujeme, aby si žáci

Více

LOGIC. Stavebnice PROMOS Line 2. Technický manuál

LOGIC. Stavebnice PROMOS Line 2. Technický manuál ELSO, Jaselská 177 28000 KOLÍN, Z tel/fax +420-321-727753 http://www.elsaco.cz mail: elsaco@elsaco.cz Stavebnice PROMOS Line 2 LOGI Technický manuál 17. 04. 2014 2005 sdružení ELSO Účelová publikace ELSO

Více

Osvětlení modelového kolejiště Analog / DCC

Osvětlení modelového kolejiště Analog / DCC D V1.0 Osvětlení modelového kolejiště Analog / DCC Popisovaný elektronický modul simuluje činnost veřejného osvětlení pro různé druhy svítidel a osvětlení budov s nepravidelným rozsvěcením jednotlivých

Více

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o.

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o. Zpracování obrazu v FPGA Leoš Maršálek ATEsystem s.r.o. Základní pojmy PROCESOROVÉ ČIPY Křemíkový čip zpracovávající obecné instrukce Různé architektury, pracují s různými paměti Výkon instrukcí je závislý

Více