České vysoké učení technické v Praze Fakulta elektrotechnická Katedra řídicí techniky. Diplomová práce

Rozměr: px
Začít zobrazení ze stránky:

Download "České vysoké učení technické v Praze Fakulta elektrotechnická Katedra řídicí techniky. Diplomová práce"

Transkript

1 České vysoké učení technické v Praze Fakulta elektrotechnická Katedra řídicí techniky Diplomová práce Implementace USB Interface pro počítačové periferie Roman Bartosiński 2003

2 Abstrakt Tato diplomová práce se zabývá implementací a programovou podporou rozhraní USB pro mikroprocesorové systémy. Teoretická část obsahuje výtah ze specifikace USB verze 1.1 a částečně verze 2.0. Součástí je také přehled a popis jednotlivých typů obvodů určených pro implementaci rozhraní USB. Výsledkem rozboru dostupných materiálů o obvodech pro USB je přehledový katalog dostupných obvodů a podrobnější katalog řadičů a mikrokontrolérů s implementací USB. Další část popisuje navrženou vývojovou desku rozhraní USB s vybraným obvodem PDIUSBD12 firmy Philips. Praktická práce zahrnuje vytvoření programové podpory pro realizované rozhraní v moderních 32 bitových systémech. V textu jsou uvedeny výsledky této práce ve formě popisu jednotlivých programů, které lze nalézt na přiloženém CD. Abstract This diploma thesis describes the implementation and software support of a USB interface for microprocessor systems. The theoretical section contains a simplified description of the USB specification in version 1.1 and partly in version 2.0. As a component part of this is also a list and description of types of USB interface circuits for implementation. The results of the analysis are summarized in the catalogue of available USB circuits and detailed catalogue of microcontrollers with USB interface. The next section describes the proposed USB interface board with selected circuit PDIUSBD12 from Philips. The practical section includes software package for the support of the USB interface into contemporary 32bits systems. Included in the thesis are all individual programs, which can also be found on the enclosed CD. ii

3 Prohlášení Prohlašuji, že jsem svou diplomovou práci vypracoval samostatně a použil jsem pouze podklady (literaturu, projekty, software atd.) uvedené v přiloženém seznamu. Nemám závažný důvod proti užití tohoto školního díla ve smyslu 60 Zákona č.121/2000 Sb., o právu autorském, o právech souvisejících s právem autorským a o změně některých zákonů (autorský zákon). V Praze dne.... Poděkování Na tomto místě bych rád poděkoval především vedoucímu mé diplomové práce Ing. Pavlu Píšovi, bez jehož rad a času, věnovaných mi při konzultacích, by tato práce nemohla vzniknout. Dále bych chtěl poděkovat svému nejbližšímu okolí za podporu při studiu. iii

4 Obsah 1. ÚVOD PRŮMYSLOVÝ STANDARD USB HISTORIE USB SPECIFIKACE USB Fyzická vrstva USB Architektura USB Mechanické vlastnosti přenosového média USB Elektrické vlastnosti sběrnicového napájení Elektrické vlastnosti signálové sběrnice Kódování dat Linková vrstva Pakety a jejich pole Typy a průběh přenosů Přidělování kapacity šířky pásma Řídící přenos Enumerace zařízení Deskriptory zařízení Struktura požadavku Přehled standardních požadavků INTEGROVANÉ OBVODY PRO USB PRVKY SBĚRNICE USB Hostitel Rozbočovač Koncové zařízení TYPY OBVODŮ PRO USB Obvody napěťových spínačů Obvody vysílače a přijímačů Obvody fyzické vrstvy Ostatní obvody ZVOLENÝ OBVOD PDIUSBD HARDWARE DESKA ROZHRANÍ ID Část CPU Rozhraní RS232/RS Rozhraní USB Napájení, konektory a propojky SPI PROGRAMÁTOR CPU SOFTWAROVÉ VYBAVENÍ VRSTVY SOFTWAROVÉHO VYBAVENÍ Programové vybavení na straně zařízení Programové vybavení na straně hostitele Popis struktury ovladače pro USB zařízení iv

5 5.2 POUŽITÉ SOFTWAROVÉ NÁSTROJE Nástroje pro programové vybavení zařízení Deska id12 s CPU AT89S Deska MO_CPU s CPU M Nástroje pro programové vybavení hostitele Linux Windows MathWorks MATLAB VYTVOŘENÝ SOFTWARE SPI (PC-DOS) PDIUSB (id12, M68) SKELETON (id12) BOOTLOAD (id12) USB2COM (id12) USB (M68) SEND2D12 (PC-WIN, LINUX) UCOM (PC-LINUX) BOOTLOAD (PC-WIN) WLIBUSB (PC-WIN) USB2COM (PC-WIN) EVBOUSB (PC-WIN) USBPORT (PC-WIN,LINUX) ZÁVĚR LITERATURA OBSAH PŘILOŽENÉHO CD PŘÍLOHY... 1 A. KATALOG OBVODŮ S USB... 1 A.1 Přehledový katalog obvodů pro implementaci USB... 1 A.1.1 Spínače napětí... 1 A.1.2 Blok vysílače a přijímačů... 2 A.1.3 Blok fyzické vrstvy (PHY)... 2 A.1.4 Řadič hostitele... 2 A.1.5 Řadič rozbočovače... 2 A.1.6 Koncové zařízení... 3 A.1.7 Ostatní obvody... 5 A.2 Katalog řadičů a mikrokontrolérů s implementací USB... 6 A.3 Seznam výrobců obvodů uvedených v katalogu B. KATALOGOVÝ LIST OBVODU PDIUSBD C. TECHNICKÁ DOKUMENTACE DESKY ROZHRANÍ USB - ID C.1 Schéma zapojení id C.2 Deska plošných spojů... 2 C.3 Rozložení součástek... 3 C.4 Rozmístění a popis konektorů a zkratovacích propojek... 3 v

6 Seznam obrázků Obrázek Model sběrnice USB... 4 Obrázek Stromová struktura sběrnice USB Obrázek Průřez kabelem pro USB Obrázek Typy konektorů a zásuvek pro USB... 6 Obrázek Zařízení USB podle typu napájení... 7 Obrázek Úbytky napětí v nejhorším možném případu... 7 Obrázek Připojení jednotlivých prvků na sběrnici podle specifikace Obrázek Šíření signálu mezi zařízeními Obrázek Průběh signálů sběrnice při připojení/odpojení zařízení Obrázek Průběh signálů D+ a D- při přenosu paketu Obrázek Kódování dat pomocí NRZI Obrázek Příklad dat vysílací části přenosové cesty Obrázek Přenos po sběrnici v časových rámcích Obrázek Struktura některých polí, tvořící pakety Obrázek Složení paketů z jednotlivých polí Obrázek Příklad průběhu přenosu pro LS zařízení Obrázek Průběhy jednotlivých typů přenosu Obrázek Posloupnost operací při řídicím přenosu a přenosu objemných dat Obrázek Stavy zařízení z hlediska USB Obrázek Hierarchie deskriptorů příklad popisu zařízení Obrázek Pořadí odesílaných deskriptorů spolu s deskriptorem konfigurace Obrázek Blokové schéma rozhraní USB hostitele s jedním výstupním portem Obrázek Blokové schéma rozhraní USB rozbočovače napájeného ze sběrnice Obrázek Blokové schéma rozhraní USB rozbočovače napájeného z externího zdroje Obrázek Blokové schéma rozhraní USB koncového zařízení Obrázek Blokové schéma napěťového spínače pro USB Obrázek Vnitřní schéma vysílače/přijímačů pro LS/FS zařízení Obrázek Blokové schéma SIE Obrázek Vnitřní schéma obvodu pro ochranu před elektrostatickým výbojem Obrázek Blokové schéma obvodu PDIUSBD Obrázek Blokové schéma vývojové desky id Obrázek Mapa externího adresového prostoru CPU Obrázek Schéma zapojení rozhraní USB Obrázek Schéma zapojení programovacího budiče SPI rozhraní Obrázek Model sběrnice USB Obrázek Vrstvy části programu pro rozhraní USB Obrázek Část vývojového diagramu pro hlavní smyčku s prioritní obsluhou USB Obrázek Vývojový diagram obsluhy přerušení pro obvod PDIUSBD Obrázek Vývojový diagram obsluhy vstupního kanálu řídicí brány Obrázek Vývojový diagram obsluhy výstupního kanálu řídicí brány Obrázek Vrstvy programového vybavení pro hostitelský počítač Obrázek Základní struktura ovladače pro zařízení USB Obrázek C1 - Schéma zapojení desky rozhraní USB id12... C-1 Obrázek C2 - Pohled ze strany spojů.... C-2 Obrázek C3 - Pohled ze strany součástek... C-2 Obrázek C4 - Rozložení součástek na desce id C-3 Obrázek C5 - Rozmístění konektorů, zkratovacích propojek a LED.... C-3 vi

7 Seznam tabulek Tabulka Základní stavy sběrnice definované na straně zařízení Tabulka Typy paketů a jejich identifikace Tabulka Struktura deskriptoru zařízení Tabulka Struktura deskriptoru konfigurace Tabulka Struktura deskriptoru rozhraní Tabulka Struktura deskriptoru brány Tabulka Deskriptor podporovaných jazyků Tabulka Deskriptor textového řetězce Tabulka Struktura požadavku Tabulka Přehled standardních požadavků Tabulka A.1 - Přehled obvodů USB Spínače napětí.... A-1 Tabulka A.2 - Přehled obvodů USB Blok vysílače a přijímačů... A-2 Tabulka A.3 - Přehled obvodů USB Blok fyzické vrstvy.... A-2 Tabulka A.4 - Přehled obvodů USB Řadič hostitele bez společného zařízení... A-2 Tabulka A.5 - Přehled obvodů USB Řadič hostitele se společným zařízením... A-2 Tabulka A.6 - Přehled obvodů USB Řadič rozbočovače bez složeného zařízení.... A-2 Tabulka A.7 - Přehled obvodů USB Řadič rozbočovače se společným zařízením.... A-3 Tabulka A.8 - Přehled obvodů USB Obvod rozhraní mezi USB a MCU.... A-3 Tabulka A.9 - Přehled obvodů USB Mikrokontroléry s USB... A-3 Tabulka A.10 - Přehled obvodů USB Převodníky USB... A-4 Tabulka A.11 - Přehled obvodů USB Ostatní obvody.... A-5 Tabulka A.12 - Řadiče rozhraní USB. (seřazeno podle výrobce)... A-6 Tabulka A.13 - Mikrokontroléry USB. (seřazeno podle obvodu)... A-7 Tabulka A.14 - Seznam výrobců uvedených v katalogu... A-18 Tabulka C1 - Popis konektorů na desce id C-4 Tabulka C2 - Popis zkratovacích propojek na desce id12... C-5 vii

8 1. Úvod Univerzální sériová sběrnice (USB Universal Serial Bus) je od druhé poloviny 90.let minulého století novým standardem pro připojování zařízení k počítači a přenos dat mezi nimi. Sběrnice se na trhu prosadila díky vlastnostem, které znamenaly pro uživatele jednoduché použití a pro výrobce levnou a rychlou implementaci do nových i již hotových zařízení. Mezi tyto vlastnosti patří především možnost připojování zařízení za chodu počítače i zařízení, napájení zařízení s menším odběrem přímo ze sběrnice, rychlost přenosu až do 12Mb/s, univerzálnost použití a možnost připojení více zařízení k jedné sběrnici. Další rozšíření USB na trhu umožnila nová verze specifikace, která dovoluje přenos až do rychlosti 480Mb/s a tím i připojení datově náročnějších zařízení. Navíc s rozvojem mobilní digitální techniky a uvedením dodatku On-The-Go k poslední verzi specifikace je možné propojovat některá zařízení přímo, bez potřeby počítače jako hostitele. Vzhledem k těmto vlastnostem rozhraní USB vytlačuje dosud používané starší paralelní a sériové rozhraní počítačů. Výhodou USB je také standardizace základních tříd zařízení se stejným účelem, pro které jsou definovány přenosové protokoly. Podpora těchto tříd v operačních systémech pak představuje pro uživatele zjednodušení instalace nových zařízení, které patří do podporované třídy a které tak nepotřebují zvláštní programové vybavení. Rozšíření sběrnice USB a použití i v nekomerčních projektech je umožněno širokou součástkovou základnou vyráběných elektronických obvodů s implementovaným rozhraním USB. Jejich přehled a srovnání je jedním z cílů diplomové práce. Další z cílů diplomové práce je návrh a realizace vývojové desky s implementací USB pro připojení k mikroprocesorovým systémům. K tomu účelu byl vybrán obvod rozhraní USB PDIUSBD12 od firmy Philips. Součástí práce je také vytvoření programového vybavení pro obě strany sběrnice USB s využitím volně dostupných nástrojů. Na straně zařízení se jedná o jednoduchou aplikaci s podporou USB a její modifikace pro speciální účely. Na straně hostitele jsou to ovladače, knihovny a aplikace pro komunikaci s deskou. Motivací pro realizaci této desky je otestování možnosti využití sběrnice USB pro řídicí aplikace. Pro některé negativní vlastnosti, mezi něž patří například topologie sběrnice, omezená maximální vzdálenost zařízení od počítače, nemožnost galvanického oddělení zařízení, se tato sběrnice nerozšířila do průmyslu, kde se i nadále používají vhodnější sběrnice založené například na standardu RS-485. Pro připojení takové sběrnice k počítači, který má jako rozhraní periferie pouze USB, je třeba převodník mezi těmito dvěma rozhraními. Proto je součástí praktické práce vytvoření takového převodníku na realizované vývojové desce. Protože vytvořený převodník nezapadá do žádné ze specifikovaných tříd podporovaných operačním systémem, jsou součástí práce také naprogramované ovladače pro 32 bitový operační systém Windows Tato práce je rozdělena do samostatných kapitol podle vytyčených cílů. Kapitola 2 začíná přehledem historického vývoje standardu USB. Hlavní náplní této kapitoly je stručný výtah ze specifikace standardu USB verze 1.1 s některými rozšířeními z verze 2.0. Výtah ze specifikace je proveden s použitím literatury [1], [2] a částečně [3]. V kapitole 3 je provedeno rozdělení a přehled potřebných a dostupných typů integrovaných obvodů pro implementaci USB. Také je v této kapitole stručný popis vybraného obvodu PDIUSBD12. Přehled typů obvodů je doplněn přílohou A, v které je přehledový katalog integrovaných obvodů pro implementaci USB a podrobnější katalog řadičů rozhraní a mikrokontrolérů s implementací USB. Katalogové listy obvodů zařazených do katalogu jsou dostupné na přiloženém CD. Kapitola 4 popisuje hardware vytvořený v rámci diplomové práce. S touto kapitolou souvisí 1

9 příloha C, která obsahuje základní technickou dokumentaci k vytvořené vývojové desce. Softwarové vybavení pro vývojovou desku i hostitelský počítač je, stejně jako použité softwarové nástroje, popsán v kapitole 5. Na závěr kapitoly jsou popsány jednotlivé vytvořené programy. Zhodnocení výsledků práce a dosažených cílů je provedeno v kapitole 6. Součástí práce je přiložený kompaktní disk s katalogem, některými použitými softwarovými nástroji a vytvořeným programovým vybavením. Obsah a rozdělení CD je v kapitole 8. 2

10 2. Průmyslový standard USB V této kapitole je stručně popsán standard USB verze 1.1. Popis sběrnice je doplněn o vývoj USB a některé vlastnosti sběrnice podle novější verze 2.0. Podrobnější informace lze najít především v [1], [2] a případně [3], odkud byly čerpány informace. 2.1 Historie USB Sběrnice USB vznikla jako reakce na potřebu rychlé, univerzální a pro uživatele snadno obsluhovatelné propojení mezi počítačem a periferiemi, umožňující připojit více zařízení k jedné sběrnici za chodu počítače i zařízení. Standard USB je vyvíjen od první poloviny devadesátých let minulého století zástupci firem z oblasti hardwaru i softwaru sdružených do organizace USB Implementers Forum (USB-IF). Největší podíl na něm mají firmy Compaq, Intel, Microsoft, NEC, Hewlett- Packard, Lucent Technologies a Philips. Na počátku roku 1996 byl uveřejněn standard USB verze 1.0. Firma Intel začala vyrábět a implementovat řadiče USB do základních desek nových počítačů a zásuvných karet pro starší počítače. V té době nebylo rozhraní USB podporováno operačními systémy a specifikace nebyla dostatečně propracovaná, takže některá zařízení připojená k jedné sběrnici společně nepracovala. Nedostatky specifikace z verze 1.0 byly odstraněny ve verzi 1.1 uveřejněné v polovině roku V počítačích bylo do té doby rozhraní USB implementováno jen výjimečně. Verze 1.1 byla již podporována novými operačními systémy, tím se rozrůstal i počet periferií připojovaných ke sběrnici USB. Také vznikly nové typy zařízení jako například přenosné paměťové moduly (USB Flash Drive). Ve verzi 1.1 byly definovány dva základní typy rozhraní USB s různou přenosovou rychlostí. Prvním typem jsou nízkorychlostní zařízení (low-speed devices, dále jen LS), což jsou zařízení s maximální přenosovou rychlostí do 1,5Mbit/s a menším přenášeným objemem dat. Taková zařízení jsou levnější na výrobu a jsou proto specifikací podporována. Do této skupiny patří například počítačové myši, klávesnice a pákové ovladače. Druhým typem jsou plnohodnotná zařízení (full-speed devices, dále jen FS), která mají maximální přenosovou rychlost do 12Mbit/s a jsou primárně určena pro přenos dat jako je digitální zvuk, kompresované video, ISDN. V této verzi už bylo počítáno s dalším vývojem standardu pro vyšší přenosové rychlosti, jak se zvyšovaly nároky na přenosovou rychlost a zvětšoval se objem přenášených dat připojovaných zařízení. Poslední verze 2.0, zveřejněná v dubnu 2000, rozšiřuje standard o třetí typ zařízení, kterým jsou vysokorychlostní zařízení (high-speed devices, dále jen HS) s přenosem do 480Mbit/s. Díky tomuto rozšíření lze pomocí USB připojovat k počítači také zařízení s velkým objemem přenášených dat, jako jsou například disky, připojení k síti, zařízení pro zpracování obrazu a další. Rostoucí trh s mobilními zařízeními (digitální fotoaparáty, mobilní telefony, PDA a další) přinutil USB-IF vyvinout nový standard On-The-Go (dále jen OTG) rozšiřující verzi 2.0 pro tato zařízení. Standard OTG umožňuje propojovat některé zařízení pomocí USB přímo bez stolního hostitelského počítače. Na závěr ještě zmínka o budoucnosti sběrnice USB, o té svědčí přes 1,1 miliardy vyprodukovaných zařízení s implementovaným rozhraním za prvních pět let od uvedení USB 3

11 na trh (údaj pochází ze zdrojů USB-IF). Tím má USB zajištěnu silnou pozici na trhu, kterou neohrozí ani nový standard bezdrátového přenosu BlueTooth. 2.2 Specifikace USB Specifikace standardu USB definuje architekturu sběrnice, elektrické a mechanické vlastnosti jednotlivých prvků, přenosový protokol a datový tok na sběrnici. V této podkapitole jsou popsány základní vlastnosti fyzické a linkové vrstvy sběrnice USB podle specifikace verze Fyzická vrstva USB Do fyzické vrstvy patří architektura sběrnice, její mechanické vlastnosti, elektrické vlastnosti napájecí a signálové části sběrnice a kódování dat. Každé z těchto částí je věnována samostatná podkapitola, podrobnější informace lze nalézt v kapitolách 4, 5, 6 a 7 specifikace USB verze 1.1 [1] a pro verzi 2.0 v [2] Architektura USB Sběrnice USB je složena z několika typů prvků. Jsou to hostitel (HOST), původně vždy pouze jako součást stolního počítače, rozbočovače (HUB) a koncová zařízení (DEVICE). Dále sběrnici tvoří propojení vždy mezi dvěma prvky typu point-to-point. Každý prvek se skládá z několika logických vrstev zobrazených na obrázku 2.1a. Komunikace mezi hostitelem a koncovým zařízením probíhá skrz logické komunikační kanály (pipes), které jsou zobrazené na obrázku 2.1b. Obrázek Model sběrnice USB. Topologie sběrnice má stromovou strukturu, jak je znázorněno na obrázku 2.2. Hostitel tvoří centrální jednotku každé sběrnice a zároveň je spolu s kořenovým rozbočovačem první vrstvou sběrnice. V každé sběrnici USB může být pouze jeden hostitel, který řídí přidělování přenosového média metodou výzvy (polling) v logickém kruhu, tj. všechna zařízení poslouchají na síti a pokud zachytí pověření (token) se svojí adresou, odpoví na něj. S použitým adresováním lze připojit až 127 nezávislých zařízení k jednomu hostiteli. Stejně jako kořenový, tak i ostatní rozbočovače rozšiřují sběrnici o další přípojné body a zvyšují počet vrstev sběrnice. Většina rozbočovačů je součástí složeného zařízení, které má v jednom fyzickém pouzdře kromě rozbočovače i koncové zařízení, takové zařízení je připojeno ke svému rozbočovači a patří tak logicky do další vrstvy. Specifikací USB 1.1 je pro LS a FS zařízení povoleno nejvýše 5 vrstev včetně kořenového rozbočovače, pro USB 2.0 (FS, HS) je počet povolených vrstev roven 7, tyto hodnoty vychází z maximálních dovolených zpoždění signálu pro jednotlivé úseky sběrnice a z maximální doby na odpověď zařízení hostitelskému počítači. 4

12 Koncové zařízení obsahuje několik logických bran (endpoints) s vlastní FIFO pamětí, přes které zařízení komunikuje s hostitelem. Jednotlivé brány jsou sdruženy do rozhraní (interfaces). Každé rozhraní představuje pro hostitele jednu nezávislou funkci zařízení. Například zařízení fungující jako scanner, tiskárna a kopírka má tři rozhraní viditelné pro uživatele hostitelského počítače jako tři samostatné zařízení. Obrázek Stromová struktura sběrnice USB Mechanické vlastnosti přenosového média USB Mechanické vlastnosti sběrnice definují povolené hodnoty parametrů a typy vodičů a konektorů. Obrázek Průřez kabelem pro USB. Na obrázku 2.3 je znázorněn průřez propojovacím kabelem mezi prvky sběrnice USB. Kabel obsahuje 2 dvojice vodičů a stínění. Jedna dvojice vodičů je napájecí (GND, V BUS ) a druhá dvojice je datová (D+, D-). Pro FS a HS zařízení je pro datové vodiče předepsán kroucený dvoudrát, s vnějším stíněním. Pro LS zařízení je tento požadavek pouze jako doporučení. Takové kabely se používají pouze v koncových zařízeních s pevně připojeným kabelem, pro jejich nižší cenu a menší možný průměr. Specifikací povolená délka kabelu je dána vlastnostmi použitých vodičů a požadovanými elektrickými vlastnostmi sběrnice, mezi které patří doba zpoždění, útlum, doba náběžné a sestupné hrany signálů pro datové vodiče a úbytek napětí pro napájecí vodiče. Tyto vlastnosti jsou popsány v následující podkapitole. Vzhledem k těmto parametrům je 5

13 maximální délka kabelu 5 metrů pro HS/FS zařízení a 3 metry pro LS zařízení. Specifikace také nepovoluje prodlužovací kabely, protože by nemusely být dodrženy definované parametry. Obrázek Typy konektorů a zásuvek pro USB. Každý kabel, s výjimkou kabelů pevně připojených k LS zařízením, je opatřen dvěma konektory s nezaměnitelným tvarem. Tím je zajištěno jednoduché použití a vyloučena možnost nesprávného připojení. Konektor typu "A" má obdélníkový průřez (obr.2.4a) a slouží k připojení kabelu k výstupu z hostitelského počítače nebo rozbočovače. Konektor typu "B" je čtvercového průřezu (obr.2.4b) a kabel je jím připojen k zařízení nebo na vstup rozbočovače. Vzhledem k novým menším zařízením připojovaným pomocí USB, byl specifikací 2.0 přidán nový typ konektoru označený jako "Mini-B" (obr.2.4c), který má přibližně poloviční výšku než konektor typu "B". Specifikací jsou povoleny dva propojovací kabely, první s konektory typu "A" a "B" a druhý s konektory "A" a "Mini-B". Pro doplnění přehledu je na obrázku 2.4d nový konektor pro OTG zařízení označený "Mini-A" jako alternativa k původnímu konektoru nově označovanému "Standard-A". Kabely s tímto konektorem mohou být dlouhé maximálně 4,5 metru. Na obrázku 2.4e je zásuvka typu "Mini-AB" určená pro OTG zařízení, která mohou být připojena jako zařízení i jako hostitel Elektrické vlastnosti sběrnicového napájení Zařízení připojená ke sběrnici USB lze rozdělit z hlediska napájení na tři skupiny. Zařízení napájená ze sběrnice s malým odběrem proudu (low-power bus-powered device), zařízení napájená ze sběrnice s velkým odběrem proudu (high-power bus-powered device) a zařízení s vlastním napájením (self-powered device). Pro každou skupinu je definovaný maximálně možný odebíraný proud a minimální napětí při kterém musí být zařízení schopno řádně pracovat. Přehled zapojení napájecí části pro jednotlivé skupiny zařízení jsou na obrázku 2.5. Zařízení může být z hlediska napájení v jednom ze tří stavů. Prvním stavem je režim obecného zařízení. V tomto stavu se zařízení nachází po připojení ke sběrnici, dokud mu není přiřazena vlastní adresa. Dalším stavem je funkční režim zařízení. Třetí stav je režim pozastavení činnosti zařízení (suspend mode). Zařízení napájená ze sběrnice s malým odběrem proudu mohou odebírat maximálně 100mA, kromě režimu spánku, kdy mohou odebírat nejvýše 0,5mA. Dále musí být schopna pracovat již od napětí 4,4V. Toto napětí se měří na začátku přívodního kabelu u konektorem typu "A". Zařízení, která ve funkčním režimu odebírají více než 100mA, patří do skupiny zařízení napájených ze sběrnice s velkým odběrem proudu. Jejich odběr nesmí překročit 100mA pro režim obecného zařízení a musí pracovat od napětí 4,4V. Ve funkčním režimu zařízení nesmí 6

14 odebírat více než 500mA a musí pracovat od napětí 4,75V, obě napětí jsou měřena na konci přívodního kabelu u konektorem typu "A". Pro režim spánku je povolen maximální odběr 2,5mA. Mezi tato zařízení patří rozbočovače napájené po sběrnici. Pro ně je předepsán i maximální úbytek napětí 350mV mezi vstupním portem a výstupními porty. Obrázek Zařízení USB podle typu napájení. Zařízení s vlastním napájením mohou mít USB řadič napájen buď z vlastního zdroje nebo ze sběrnice, v tom případě zařízení může odebírat maximálně 100mA. Sběrnicové napájení je většinou využito pro komunikaci zařízení s hostitelským počítačem při vypnutém vlastním napájení. Obrázek Úbytky napětí v nejhorším možném případu. Na obrázku 2.6 jsou znázorněny minimální napětí jednotlivých typů zařízení a zároveň nejhorší možný případ úbytků napětí na sběrnicovém napájení ve funkčním režimu všech znázorněných zařízení. Z obrázku je patrné, že největší možný úbytek napětí na propojovacím kabelu je 125mV, což je jeden z faktorů omezující největší povolenou délku propojovacího kabelu Elektrické vlastnosti signálové sběrnice Přenos signálů po sběrnici USB je zajištěn dvojicí signálových vodičů D+ a D- s rozdílovým signálem. Tolerované hodnoty výstupu budičů jsou pro nízkou úroveň signálu V OL 0,3V při zátěži R PU =1,5kΩ proti napětí 3,6V a pro vysokou úroveň signálu V OH 2,8V při zátěži R PD =15kΩ proti napětí 0V pro vysokou úroveň signálu. 7

15 Budiče vysílačů jsou třístavové, aby mohl probíhat obousměrný poloduplexní přenos. Podle specifikace musí být oba budiče datových signálů schopny vydržet trvalý zkrat proti druhému signálu, napájení V BUS, GND a stínění kabelu. Obrázek Připojení jednotlivých prvků na sběrnici podle specifikace 1.1. FS zařízení musí mít vysílače (obrázek 2.7) s předepsanou impedanci 28Ω až 44Ω, což je dáno definovaným spojením pomocí kabelu s impedancí Z o =90Ω±15% a maximálním 1 zpožděním hrany signálu vyslaného k zařízení a jeho odrazu v rámci vysílání jednoho bitu. Do tohoto času se počítá 26ns na dobu šíření signálu kabelem, což má vliv na maximální možnou délku kabelu. Zbylé 4ns jsou rozděleny na maximálně 3ns zpoždění způsobené vyvedením signálu z čipu a desky hostitelského počítače nebo rozbočovače ke konektoru a 1ns zpoždění pro vedení signálu z konektoru na desce zařízení (obrázek 2.8). Maximální dobu šíření ovlivňují také povolené doby náběhu a sestupu hran signálu, které jsou maximálně 4-20ns±10%. Pro LS zařízení je dáno maximální zpoždění na propojovacím kabelu 18ns, což převážně určují povolené doby náběhu a sestupu hrany signálu, které jsou ns±20%. Obrázek Šíření signálu mezi zařízeními. Zařízení používají pro příjem signálů dva druhy přijímačů (obrázek 2.7). Rozdílový přijímač a samostatné přijímače pro každý datový signál. Ty detekují nízkou úroveň vstupního signálu pro napětí V IL 0,8V a vysokou úroveň vstupního signálu pro napětí V IH 2,0V. Napětí obou 1 Výraz maximální je použit jako specifikací povolená největší hodnota. 8

16 signálů D+ a D- může být menší než V IH maximálně 14ns pro FS a 210ns pro LS zařízení, aniž by byl stav sběrnice interpretován jako stav SE0, popsaný dále v této podkapitole. Obrázek Průběh signálů sběrnice při připojení/odpojení zařízení. Dokud není žádné zařízení ke sběrnici připojeno, jsou napětí na obou datových linkách D+ a D- nulové. Po připojení zařízení, vlivem odporů R PD a R PU zapojených podle obrázku 2.7, dojde k nárůstu napětí na linkách, jak je znázorněno na obrázku 2.9a. Napětí na lince, která je připojena přes odpor R PU k napětí 3,0V až 3,6V, překročí hodnotu V IH a pokud tento stav vydrží déle než 2,5µs, je detekováno připojení zařízení. Je-li odpor R PU připojen k lince D-, je detekováno zařízení typu LS. V druhém případě (R PU je připojen k lince D+) je detekováno zařízení typu FS. Odpojení zařízení je detekováno v případě, že napětí na obou linkách pokleslo pod V IL na dobu delší než 2,5µs. Detekce zařízení typu HS je složitější, stejně jako struktura bloku HS vysílače a přijímače, proto je zde pouze naznačena. Podrobně je průběh popsán v kapitolách až specifikace [2]. Připojením odporu R PU se detekuje zařízení jako typ FS, poté zařízení vysílá určitou dobu sled signálů tak, aby rozbočovač detekoval zařízení připojené k portu jako typ HS. Rozbočovač poté vyšle signál pro zapnutí funkce HS zařízení. Zařízení odpojí odpor R PU a připojí k lince HS vysílač a přijímače a další komunikace probíhá v režimu HS zařízení. FS a LS zařízení využívají pro komunikaci tři základní typy úrovní signálu na linkách D+ a D-. Jsou to "rozdílová 1", "rozdílová 0" a "jednoznačná 0" (SE0 single-ended 0), jejich definice na straně přijímače je uvedena v tabulce 2.1. Definici na straně hostitele lze nalézt v kapitole [1]. Tabulka Základní stavy sběrnice definované na straně zařízení. Stav sběrnice Definice stavu Rozdílová "1" (V D+ - V D- ) > 200mV a U D+ > V IH(min). Rozdílová "0" (V D- - V D+ ) > 200mV a U D- < V IL(max). Jednoznačná "0" (SE0) V D+ a V D- < V IL(max) Datový stav "J" Pro FS zařízení : "Rozdílová 1". Pro LS zařízení : "Rozdílová 0". Datový stav "K" Pro FS zařízení : "Rozdílová 0". Pro LS zařízení : "Rozdílová 1". Klidový stav (pro FS) V D+ > V IHZ(min) a V D- < V IL(max) Klidový stav (pro LS) V D- > V IHZ(min) a V D+ < V IL(max) Začátek paketu (SOP) Přechod z klidového stavu do stavu "K". Konec paketu (EOP) SE0 na dobu přenosu dvou bitů následovaný stavem "J" na dobu přenosu jednoho bitu. Připojení zařízení Klidový stav nejméně po dobu 2ms. Odpojení zařízení SE0 nejméně po dobu 2,5µs. Signál reset V D+ a V D- < V IL(max) po dobu nejméně 10ms Přenos dat probíhá po paketech, které jsou ohraničeny událostmi "začátek paketu" (SOP) a "konec paketu" (EOP), jak je zobrazeno na obrázku SOP je detekován přechodem ze stavu nečinné sběrnice do stavu K, který je součástí synchronizačního pole paketu, které je 9

17 popsáno v následující podkapitole EOP je definován jako přechod do stavu SE0 přibližně na dobu přenosu 2 bitů následovaný stavem J. Z něj přejde sběrnice buď do nečinného stavu nebo začne vysílání dalšího paketu. Obrázek Průběh signálů D+ a D- při přenosu paketu Kódování dat USB používá pro přenos dat po sběrnici kódování NRZI (Non Return to Zero Inverse), při něm je datová logická jednička reprezentována jako neměnný stav signálů na vodičích sběrnice a datová logická nula jako změna z jednoho stavu do druhého, jak je znázorněno na obrázku Na sběrnici se tak při přenosu dat objevují dva stavy J a K, které se pro LS a FS zařízení liší a jsou popsány v předchozí podkapitole Obrázek Kódování dat pomocí NRZI. Z použitého kódování plyne, že sekvence logických nul v datech způsobuje změnu stavu na sběrnici při každém bitu, naproti tomu sekvence logických jedniček nechává po celou dobu sběrnici ve stejném stavu. Jelikož se přenos synchronizuje pomocí změny stavu na sběrnici je změna zajištěna i pro sekvenci logických jedniček vkládáním bitu (bit stuffing). Při něm se po každých vyslaných šesti datových jedničkách vloží navíc jedna nula, tím dojde minimálně jednou za sedm bitů ke změně stavu a tím i synchronizaci sběrnice (obrázek 2.12). Současně je vkládání bitu využito k zabezpečení přenosu. Obrázek Příklad dat vysílací části přenosové cesty. Při dekódování se každá logická nula po šesti logických jedničkách vyřadí. Pokud je přijato sedm a více jedniček, nastaví se chyba a celý paket se ignoruje. Speciálním případem je poslední bit před koncem paketu, kdy může dojít v rozbočovači k prodloužení tohoto bitu, 10

18 který přijímač detekuje jako další bit před koncem paketu. Pokud je tento nadbytečný bit jako šestá logická jednička, kterou nenásleduje žádný datový přenos, je paket v pořádku přijat i bez předpokládané následující logické nuly Linková vrstva Přenos je na sběrnici organizován do časových rámců (frames). V nich se přenášejí informace v paketech seskupených do přenosů pro jednotlivé zařízení. Každý rámec je určen speciálním paketem označující začátek rámce (obrázek 2.13). Rámce mají pro FS a LS zařízení délku 1ms±500ns. HS zařízení používají mikrorámce (microframes), které jsou dlouhé 125µs±62,5ns. Řazení paketů v jednotlivých rámcích není pevně dané a určuje ho hostitel, jak je popsáno dále. Obrázek Přenos po sběrnici v časových rámcích Pakety a jejich pole Jsou definovány čtyři skupiny paketů pověřovací (Token), datové (Data), potvrzovací (Handshake) a speciální (Special). Pakety a jejich rozdělení do skupin jsou znázorněny v tabulce 2.2. Každý paket je složen z několika různě dlouhých polí, ale celý paket je vždy dlouhý celočíselný počet bajtů. Bity jednotlivých bajtů jsou posílány od nejméně důležitého (LSb) po nejvíce důležitý (MSb). Následující obrázky 2.14 a 2.15, zobrazují jednotlivé pole a složení paketů z polí tak, jak jsou bity vysílány, tj. v pořadí zleva doprava. Obrázek Struktura některých polí, tvořící pakety. Obrázek Složení paketů z jednotlivých polí. Každý paket začíná synchronizačním polem SYNC, které je dlouhé jeden bajt a má hodnotu 128. Po NRZI kódování je tak poslána sekvence stavů "KJKJKJKK" (popis stavu 11

19 "K" a "J" je v podkapitole ). Toto pole zajišťuje synchronizaci přijímače na vyslaná data. Další pole, které každý paket obsahuje, je identifikační pole PID (Packet IDentifier field) určující druh paketu. Pole PID je dlouhé osm bitů, z nichž čtyři bity nesou informaci a zbylé čtyři jsou jejich doplňkem. Pokud negace jedné čtveřice bitů neodpovídá druhé nebo je zjištěna neznámá kombinace, je celý paket zařízením ignorován. Přehled možných typů paketu je v tabulce 2.2, jejich kódy lze nalézt v kapitole specifikace [1]. Tabulka Typy paketů a jejich identifikace. Skupina paketů Typ paketu Popis Pověřovací (Token) OUT Přenos dat od hostitele k zařízení. IN Přenos dat ze zařízení k hostiteli. SETUP Konfigurační přenos od hostitele. SOF Označuje začátek rámce. Datový (Data) DATA0 Sudý datový paket (první v přenosu). DATA1 Lichý datový paket. Potvrzovací (Handshake) ACK Potvrzení bezchybného příjmu dat. NAK Data nebyla přijata nebo vyslána. STALL Brána zařízení je pozastavena nebo konfigurační požadavek není podporován. Speciální (Special) PRE Označuje LS přenos tak, aby rozbočovače zapnuly LS zařízení k nim připojené. Pověřovací pakety typu OUT (vysílací), IN (přijímací) a SETUP (konfigurační speciální případ vysílacího paketu) obsahují adresu složenou ze dvou polí. Prvním polem je adresa zařízení ADDR určující zdroj nebo cíl následujících datových paketů. Má délku 7 bitů, proto může být v jedné síti až 127 zařízení (adresa 0 je určena pro nenakonfigurované zařízení). Druhé pole ENDP obsahuje adresu USB brány (endpoint) v rámci jednoho zařízení a má délku čtyř bitů, což určuje maximální počet bran v zařízení. LS zařízení může obsahovat maximálně tři brány, na rozdíl od FS zařízení, které může mít až šestnáct bran. Všechna zařízení musí mít alespoň bránu 0 s řídícím typem přenosu, ostatní mohou být jakéhokoliv typu. Přehled typu bran a přenosů je v podkapitole Pro vysílací a konfigurační typ určuje pole ADDR a ENDP příjemce datových paketů v přenosu, pro přijímací typ je polem ADDR a ENDP určeno, kdo bude následující datové pakety vysílat. Adresní pole jsou chráněna pětibitovým polem CRC5 (Cyclic Redundancy Check) s generujícím polynomem G(X) = X 5 +X Mezi skupinu pověřovacích paketů patří i paket začátku rámce (SOF Start of Frame). Kromě pole PID obsahuje paket pole s číslem rámce a jeho ochranu pomocí pole CRC5. Pole s číslem rámce je dlouhé jedenáct bitů a jeho hodnota se s každým rámcem zvětšuje cyklicky mezi 0 a Standard nezajišťuje doručení tohoto paketu, protože zařízení na paket neodpovídají a pokud nepotřebují ke své činnosti synchronizaci sběrnice, pak tento paket ignorují. Pověřovací pakety smí vysílat pouze hostitel, který tak řídí přístup na sběrnici. Pověřovací pakety jsou zařízeními ignorovány, pokud nekončí značkou EOP a nemají délku tři bajty. Další skupinou jsou datové pakety. V této skupině jsou dva typy paketů lišící se pouze polem PID, které určuje zda se jedná o sudý datový paket (DATA0) nebo lichý datový paket (DATA1). Při vysílání dvou a více datových paketů za sebou se oba typy střídají, čímž se synchronizuje datový přenos. 12

20 Hlavní částí datových přenosů je datové pole, které může být dlouhé 0 až 1023 bajtů. Délka je závislá na typu přenosu a na hardwarové velikosti paměti vstupní brány. Datové pole je chráněno dvoubajtovým polem CRC16 s generujícím polynomem G(X) = X 16 +X 15 +X Poslední základní skupinou jsou potvrzovací pakety. Obsahují pouze pole PID a slouží k informování o stavu předchozích přenosů. Do této skupiny patří tři pakety, prvním z nich je kladné potvrzení (ACK). Tento paket posílá hostitel jako reakci na přenosy zahájené paketem typu IN nebo zařízení jako reakci na přenosy zahájené pakety typu OUT nebo SETUP. Dalším typem je záporná odpověď (NAK), tu posílá zařízení jako odpověď, že nemůže dočasně přijmout data poslaná hostitelem (v rámci přenosu zahájeného paketem OUT) nebo že nemá žádná data k odeslání (přenos zahájený paketem IN). Zařízení pak může přijmout nebo odeslat data v rámci dalšího přenosu bez zásahu hostitele. Posledním paketem je záporná odpověď se zastavením (STALL). Zařízení posílá tento paket při nemožnosti přijmout nebo vyslat data bez dalšího zásahu hostitele. Navíc tento paket posílá zařízení jako reakci na nepodporovaný konfigurační přenos. Speciální skupinou je paket PRE, kterým hostitel označuje přenos pro LS zařízení. Tento paket je určen speciálně pro rozbočovače. Po sběrnici probíhají zároveň FS a LS přenosy, proto musí být LS zařízení od sítě odpojena, dokud není přenos typu LS. Bez odpojování by LS zařízení přijímala i FS přenosy, které by špatně interpretovala. Po paketu PRE musí hostitel čekat nejméně po dobu 4 bitů FS přenosu než začne vysílat, aby měl rozbočovač čas na připojení LS zařízení. Na obrázku 2.16 je příklad takového přenosu. Obrázek Příklad průběhu přenosu pro LS zařízení Typy a průběh přenosů Typ přenosu a jeho formát je závislý na typu brány. Jsou definovány čtyři druhy bran a tím i čtyři druhy přenosu řídící (control), časovaný 2 (interrupt), izochronní (isochonous) a přenos objemných dat (bulk). LS zařízení mohou využívat pouze řídící a časovaný přenos. Obecně je každý přenos složen z pověření, datového přenosu a potvrzení, jak je znázorněno na obrázku Obrázek Průběhy jednotlivých typů přenosu. 2 Použitý výraz není přesným překladem, je však použit pro jeho větší výstižnost. 13

21 Řídící přenos má dvě nebo tři části v závislosti na potřebě přenosu dat. V první části je vyslán hostitelem paket s konfiguračním pověřením následovaný datovým paketem s požadavkem, popis požadavků je v podkapitole Pokud je požadavek v pořádku přijat, zařízení vyšle potvrzení úspěchu. V požadavku je obsažena informace o případném přenosu dalších dat v rámci řídícího přenosu. Přenos dat je řízen podle stejných pravidel jako přenos objemných dat, všechny datové pakety mají vždy stejný směr přenosu. Řídící přenos ukončuje stavová část a pozná se opačným směrem toku dat než byla vysílaná data, jak je znázorněno na obrázku 2.18a. Obrázek Posloupnost operací při řídicím přenosu a přenosu objemných dat. Čísla v závorkách označují, který typ datového paketu je posílán (DATA0 nebo DATA1). Při přenosu objemných dat je zaručeno jejich bezchybné doručení kontrolou chyb a případným opakováním paketů. Není však zaručeno zpoždění, s jakým jsou data doručena, viz.podkapitola Přenos je třífázový s výjimkou nulové délky dat, kdy přenos neobsahuje datový paket. Při čtení dat ze zařízení vyšle hostitel IN paket na který zařízení zareaguje odesláním dat nebo odpovědí NAK, pokud nemá data připravena, ale může je odeslat později a nebo odpovědí STALL, pokud je brána pozastavena. Úspěšné obdržení dat hostitel potvrdí zprávou ACK. Jestliže hostitel nezašle žádné potvrzení, znamená to chybu přenosu. Při vysílání dat do zařízení je situace obdobná. Zařízení může odpovědět ACK, pokud data byla přijata v pořádku a hostitel může poslat další datový paket, NAK pokud data přišla v pořádku, ale zařízení je nezpracovalo a hostitel bude muset poslat paket znovu, STALL pokud je brána mimo provoz a hostitel by neměl ve vysílání paketů pokračovat nebo zařízení nepošle žádnou odpověď, pokud přišla data poškozená, v tom případě hostitel vyšle znovu stejný paket. Posloupnost přenosů je zobrazena na obrázku 2.18b. Časovaný přenos má stejné fáze a pravidla jako přenos objemných dat. Rozdíl mezi nimi je v systému přidělování kapacity šířky pásma. U časovaných přenosů vysílá hostitel pověření s periodou, kdy je zařízení schopno vysílat či přijímat data, čímž se šetří přenosová kapacita média. Více o přidělování kapacity je v následující podkapitole. Izochronní přenos má pouze dvě fáze, příjemce nepotvrzuje přijetí a proto není doručení dat zaručeno. Používá se k přenosu souvislého toku dat Přidělování kapacity šířky pásma Přidělování kapacity sběrnice řídí výlučně hostitelský počítač. Rozvrhování přenosů v rámci není specifikováno standardem USB a je proto různé podle implementace hostitele. Jsou předepsány pouze limitující pravidla. Periodickým přenosům (izochronní a časované) může být přiděleno nejvýše 90% rámce (80% mikrorámce pro HS sběrnici). Zbylých 10% je primárně přiděleno řídícím přenosům. Pokud zbývá nějaká kapacita z rámce, je přidělena přenosu objemných dat. 14

22 2.2.3 Řídící přenos Každé zařízení musí mít nejméně jednu obousměrnou řídící bránu s adresou 0 (endpoint 0). Po připojení zařízení ke sběrnici je pro hostitele viditelná pouze tato brána a skrz ní může být zařízení přidělena adresa a provedena konfigurace. Tento proces se označuje jako enumerace zařízení a je popsán v následující podkapitole Při enumeraci zasílá zařízení informace o sobě pomocí deskriptorů, jejich popis je v podkapitole Hostitel zahajuje řídící přenosy vysláním konfiguračního požadavku, jehož struktura je popsána v podkapitole Všechny zařízení musí minimálně reagovat na sadu standardních požadavků, ta je popsána v podkapitole Detailní informace lze získat v [1] nebo pro HS zařízení v [2] Enumerace zařízení Zařízení připojené ke sběrnici USB je až do odpojení v některém ze stavů znázorněných na obrázku Enumerací přejde zařízení ze stavu připojení do stavu zkonfigurovaného zařízení. Obrázek Stavy zařízení z hlediska USB. V první fázi je hostitel informován rozbočovačem, k němuž je zařízení připojeno, o změně stavu na portu. Rozbočovač zapne napájení portu. Hostitel počká nejméně 100ms, aby zařízení mělo čas na ustálení napájecího napětí a poté vyšle signál k povolení portu a signál reset. Tím se zařízení dostane do stavu obecného zařízení a je schopno komunikovat s hostitelem na adrese 0 pomocí řídící brány 0. Hostitel zjistí informace přečtením deskriptoru zařízení a přidělí zařízení unikátní adresu v rámci sběrnice. Zařízení se tak dostane do adresovaného stavu. Od toho okamžiku hostitel komunikuje se zařízením na přidělené adrese. Hostitel přečte všechny konfigurace zařízení, což může trvat až několik milisekund. Na základě získaných informací nastaví hostitel jednu konfiguraci a tím přejde zařízení do zkonfigurovaného stavu. V tomto stavu může zařízení používat všechny brány popsané deskriptory vybrané konfigurace Deskriptory zařízení Univerzálnost sběrnice USB je také založena na možnosti připojovat k hostiteli zařízení různého charakteru. Tuto vlastnost umožňují deskriptory, kterými je zařízení popsáno pro potřeby sběrnice a toku dat. Jsou to datové struktury definovaného formátu, které zařízení vyšle jako reakci na požadavek hostitele. Je definováno několik typů deskriptorů deskriptor zařízení, konfigurace, rozhraní, brány a textového řetězce. Pro HS zařízení jsou definovány i další typy popsané v kapitole 9 [2]. Deskriptory jsou hierarchicky zřetězeny, jak je zobrazeno na obrázku Díky tomu lze využít možnosti více konfigurací, rozhraní a bran a tím i větší flexibility zařízení. Programově lze pak za běhu měnit vlastnosti zařízení změnou odesílaných deskriptorů. 15

23 Obrázek Hierarchie deskriptorů příklad popisu zařízení. Správné nastavení deskriptorů je jednou z podmínek úspěšné enumerace zařízení a proto zde budou jednotlivé deskriptory stručně popsány. Detailnější informace lze nalézt v kapitole 9.5 specifikace [1]. Každý deskriptor začíná dvoubajtovou hlavičkou, první bajt je délka deskriptoru a druhý je identifikátor typu deskriptoru. Tabulka Struktura deskriptoru zařízení. Jméno pole Ofset[B] Délka[B] Popis blength 0 1 Velikost deskriptoru. bdescriptortype 1 1 Kód deskriptoru zařízení (=1). bcdusb 2 2 BCD kódované číslo specifikace, se kterou jsou deskriptory kompatibilní. bdeviceclass 4 1 Identifikátor třídy zařízení. Pokud je roven nule, každé rozhraní v konfiguraci má nastavenou třídu nezávisle na ostatních rozhraních. Pokud je roven 255, zařízení nepatří do žádné definované třídy. bdevicesubclass 5 1 Identifikátor podskupiny třídy zařízení. bdeviceprotocol 6 1 Identifikátor protokolu závislý na podskupině a třídě zařízení. bmaxpacketsize0 7 1 Maximální velikost dat při jednom přenosu řídící branou 0. idvendor 8 2 Identifikátor výrobce, který přiděluje USB IF. idproduct 10 2 Identifikátor výrobku, který si volí výrobce. bcddevice 12 2 BCD kódovaná verze výrobku. imanufacturer 14 1 Index textového řetězce popisující výrobce (většinou jméno výrobce) nebo nula, pokud není výrobce popsán. iproduct 15 1 Index textového řetězce popisující výrobek nebo nula. iserialnumber 16 1 Index textového řetězce se sériovým číslem nebo nula. bnumconfiguration 17 1 Počet možných konfigurací zařízení. Deskriptor zařízení mají všechna zařízení pouze jeden, jeho struktura je v tabulce 2.3. V něm jsou základní informace o zařízení a použité specifikaci USB, podle které se zařízení chová. Mezi základní informace patří kód třídy (definovaný organizací USB-IF), ke které zařízení patří a případně používaný protokol třídy, velikost paměti brány 0, která musí být minimálně 8 bajtů a maximálně 64 bajtů, indexy textových řetězců popisující výrobce a zařízení v textové podobě. Velice důležité jsou pole idvendor a idproduct, což jsou identifikátory výrobce VID (Vendor ID) a výrobku PID (Product ID). Na základě těchto dvou polí vybere hostitelský systém vhodný ovladač zařízení. Označení výrobku si určuje výrobce sám. Přidělení kódu výrobce provádí organizace USB-IF. 16

24 Získat VID lze buď jako součást členství v USB-IF za roční poplatek $2500 nebo jako součást licence na používání loga USB v souvislosti s vlastními výrobky za poplatek $1500 na dva roky. Poslední možností je získání VID za poplatek $1500 bez možnosti užívat logo USB. Posledním polem v deskriptoru zařízení je počet možných konfigurací zařízení. Pro každou konfiguraci musí být samostatný deskriptor i se všemi hierarchicky svázanými deskriptory. Tabulka Struktura deskriptoru konfigurace. Jméno pole Ofset[B] Délka[B] Popis blength 0 1 Velikost deskriptoru. bdescriptortype 1 1 Kód deskriptoru konfigurace (=2). wtotallength 2 2 Celková délka všech deskriptorů posílaných spolu s deskriptorem konfigurace. bnuminterfaces 4 1 Počet rozhraní v konfiguraci. bconfigurationvalue 5 1 Identifikátor konfigurace. Tato hodnotu je použita pro výběr konfigurace požadavkem SetConfiguration. iconfiguration 6 1 Index textového řetězce popisující tuto konfiguraci nebo nula, pokud není konfigurace popsána. bmattributes 7 1 Vlastnosti zařízení v konfiguraci : D6: Zařízení má vlastní napájení D5: Zařízení může vzbudit hostitele MaxPower 8 1 Maximální proud odebíraný zařízením ze sběrnice při použití této konfigurace. Jednotkou jsou 2mA. Deskriptor konfigurace (tabulka 2.4) určuje počet rozhraní zařízení v konfiguraci. Každé zařízení může mít více konfigurací, například s různým druhem napájení zařízení nebo jiným rozložením bran pro jednotlivé rozhraní. Deskriptor obsahuje také informace o režimu napájení a maximální velikosti odebíraného proudu ze sběrnice. Tyto informace může hostitel využít pro řízení napájení sběrnice. Dále obsahuje celkovou velikost všech deskriptorů posílaných při požadavku o odeslání deskriptoru konfigurace. S ním se posílají deskriptory všech rozhraní konfigurace, deskriptory bran rozhraní a vlastní deskriptory třídy zařízení, jejich pořadí je jako příklad znázorněno na obrázku Obrázek Pořadí odesílaných deskriptorů spolu s deskriptorem konfigurace. (Příklad pro 2.konfiguraci zařízení podle obrázku 2.20) Deskriptor rozhraní (tabulka 2.5) popisuje rozdělení bran pro jednu funkci zařízení (například zařízení fungující jako fax, scanner a tiskárna má tři deskriptory rozhraní). Deskriptor obsahuje informace o počtu bran patřících k rozhraní a o třídě zařízení, ke které funkce zařízení představovaná rozhraním patří. Každá brána může být využita pouze v rámci jednoho rozhraní. Výjimkou je brána 0, která je dostupná pro celé zařízení a proto se neuvažuje do počtu bran v deskriptoru rozhraní a není ani popsána vlastním deskriptorem brány. Pro větší flexibilitu, je možné definovat alternativní nastavení pro každé rozhraní, tím lze měnit vlastnosti bran za běhu, bez vlivu na ostatní rozhraní. 17

25 Tabulka Struktura deskriptoru rozhraní. Jméno pole Ofset[B] Délka[B] Popis blength 0 1 Velikost deskriptoru. bdescriptortype 1 1 Kód deskriptoru rozhraní (=4). binterfacenumber 2 1 Identifikátor rozhraní. První rozhraní v konfiguraci má identifikátor roven 0. balternatesetting 3 1 Identifikátor alternativního nastavení rozhraní. bnumendpoints 4 1 Počet bran používaných rozhraním bez řídící brány. binterfaceclass 5 1 Identifikátor třídy zařízení. Hodnota 255 znamená, že rozhraní nepatří k žádné definované třídě. binterfacesubclass 6 1 Identifikátor podskupiny třídy zařízení. binterfaceprotokol 7 1 Identifikátor protokolu závislý na třídě a podskupině třídy zařízení. iinterface 8 1 Index textového řetězce popisující toto rozhraní nebo nula, pokud není rozhraní popsáno. Deskriptor brány (tabulka 2.6) popisuje vlastní nastavení brány, tj. typ a adresu brány, největší možnou velikost datového paketu, který lze branou najednou přenést a pro časovaný typ brány také periodu přenosu. Pro izochronní typ brány hostitel používá hodnotu v poli maximální velikosti dat při časovém rozvrhování paketů v rámci. Tabulka Struktura deskriptoru brány. Jméno pole Ofset[B] Délka[B] Popis blength 0 1 Velikost deskriptoru. bdescriptortype 1 1 Kód deskriptoru brány (=5). bendpointaddress 2 1 Adresa brány v rámci zařízení : D3-D0: Číslo brány D7: Směr toku dat kanálem brány 0 = od hostitele do zařízení 1 = ze zařízení k hostiteli bmattributes 3 1 Vlastnosti brány : D1, D0: Typ brány 0 = Řídící 1 = Izochronní 2 = Pro objemná data 3 = Časovaná wmaxpacketsize 4 2 Maximální velikost dat v jednom přenosu. binterval 6 1 Interval dotazování na data nebo zasílání dat pro časovaný typ brány. Pro izochronní typ brány musí být nastaven na 1. Hodnota je v milisekundách. Deskriptor textového řetězce popisuje jednak podporované jazyky textů (tabulka 2.7), které lze ze zařízení získat požadavkem GetDescriptor a pak texty samotné (tabulka 2.8). Všechny texty jsou v kódování UNICODE definovaném v [4]. Texty mohou být libovolně využity v závislosti podle funkce zařízení, především jsou však určeny k přidání pro člověka srozumitelného popisu pro jednotlivé konfigurace a rozhraní. Počet podporovaných jazyků je omezen pouze maximální délkou deskriptoru a proto jich může být až

Rozhraní USB. Rozhraní USB. Specifikace USB. Doplnění (upřesnění) 1.0. Rychlosti Low Speed (1.5 Mb/sec) a Full Speed (12 Mb/sec).

Rozhraní USB. Rozhraní USB. Specifikace USB. Doplnění (upřesnění) 1.0. Rychlosti Low Speed (1.5 Mb/sec) a Full Speed (12 Mb/sec). 1 Specifikace USB USB 1.0 Původní specifikace. USB 1.1 Doplnění (upřesnění) 1.0. Rychlosti Low Speed (1.5 Mb/sec) a Full Speed (12 Mb/sec). USB 2.0 Doplněno o High Speed (480 Mb/sec.) a další rozšíření

Více

USB. Universal Serial Bus. www.usb.org. revize 2.0 z 27.dubna 200

USB. Universal Serial Bus. www.usb.org. revize 2.0 z 27.dubna 200 USB Universal Serial Bus www.usb.org revize 2.0 z 27.dubna 200 Proč vznikla? Základní charakteristika USB bylo třeba vytvořit nové univerzální a dostatečně rychlé rozhraní pro vícenásobné připojení různých

Více

Universal Serial Bus. Téma 12: USB. Komunikační principy Enumerace Standardní třídy zařízení

Universal Serial Bus. Téma 12: USB. Komunikační principy Enumerace Standardní třídy zařízení Universal Serial Bus Téma 12: USB Komunikační principy Enumerace Standardní třídy zařízení Obecné charakteristiky distribuovaná datová pro připojení počítačových periferií klávesnice, myš, Flash disk,

Více

Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Periferní zařízení, cvičení IPZ Analýza komunikace na sběrnici USB

Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Periferní zařízení, cvičení IPZ Analýza komunikace na sběrnici USB Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Periferní zařízení, cvičení IPZ Analýza komunikace na sběrnici USB Úloha č. 2. Zadání: 1. Seznamte se s principy komunikace na sériovém

Více

Distribuované systémy a počítačové sítě

Distribuované systémy a počítačové sítě Distribuované systémy a počítačové sítě Universal Serial Bus - USB Komunikační principy Enumerace Standardní třídy zařízení Obecné charakteristiky distribuovaná datová pro připojení počítačových periferií

Více

IPZ laboratoře. Analýza komunikace na sběrnici USB L305. Cvičící: Straka Martin, Šimek Václav, Kaštil Jan. Cvičení 2

IPZ laboratoře. Analýza komunikace na sběrnici USB L305. Cvičící: Straka Martin, Šimek Václav, Kaštil Jan. Cvičení 2 IPZ laboratoře Analýza komunikace na sběrnici USB L305 Cvičení 2 2008 Cvičící: Straka Martin, Šimek Václav, Kaštil Jan Obsah cvičení Fyzická struktura sběrnice USB Rozhraní, konektory, topologie, základní

Více

Universal Serial Bus (USB)

Universal Serial Bus (USB) Universal Serial Bus (USB) Terminologie V sestavách se zařízeními USB se používá architektura master slave. Počítač je master. Oba konce kabelu nejsou kompatibilní downstream/upstream. počítač upstream

Více

Počítačové sítě. Miloš Hrdý. 21. října 2007

Počítačové sítě. Miloš Hrdý. 21. října 2007 Počítačové sítě Miloš Hrdý 21. října 2007 Obsah 1 Pojmy 2 2 Rozdělení sítí 2 2.1 Podle rozlehlosti........................... 2 2.2 Podle topologie............................ 2 2.3 Podle přístupové metody.......................

Více

Distribuované průmyslové měřicí systémy

Distribuované průmyslové měřicí systémy Distribuované průmyslové měřicí systémy vývoj směřuje k rozdělení měř. systémů na laboratorní a průmyslový provoz. 1. Základní charakteristiky laboratorního a průmyslového provozu Laboratorní provoz Průmyslový

Více

Charakteristika rozhraní USB

Charakteristika rozhraní USB Charakteristika rozhraní USB 1. Osnova přednášky Důvody pro zavedení USB. Charakteristické rysy USB. Protokoly USB. Typy paketů. Rozhraní USB OTG. 1 Důvody pro zavedení USB Klasický způsob připojování

Více

Nadpis 1 Universal Serial Bus Nadpis (USB) 2 Nadpis 3

Nadpis 1 Universal Serial Bus Nadpis (USB) 2 Nadpis 3 Periferní zařízení: Nadpis 1 Universal Serial Bus Nadpis () 2 Nadpis 3 Zdeněk Kotásek, Marcela Jméno Zachariášová Příjmení Vysoké Vysoké učení technické učení technické v Brně, v Fakulta Brně, Fakulta

Více

Základní normalizované datové přenosy

Základní normalizované datové přenosy Základní normalizované datové přenosy Ing. Lenka Kretschmerová, Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl v rámci projektu ESF

Více

PCMCIA(Personal Computer Memory Card PCMCIA (3) PCMCIA (2) PCMCIA (4)

PCMCIA(Personal Computer Memory Card PCMCIA (3) PCMCIA (2) PCMCIA (4) PCMCIA (1) PCMCIA(Personal Computer Memory Card International Association) - sdružení založené v roce 1989 Úkolem PCMCIA bylo zavést standard pro rozšiřující karty (a jimi využívané sloty) používané zejména

Více

Sériové komunikace KIV/PD Přenos dat Martin Šimek

Sériové komunikace KIV/PD Přenos dat Martin Šimek Sériové komunikace KIV/PD Přenos dat Martin Šimek O čem přednáška je? 2 Konfigurace datového spoje Sériová rozhraní RS-232, RS-485 USB FireWire Konfigurace datového spoje 3 Topologie datového spoje 4 Rozhraní

Více

FLOOR TALKER. Uživatelský návod verze 1.0. TELSYCO s.r.o. Prostřední 627/14 141 00 Praha 4. www.telsyco.cz

FLOOR TALKER. Uživatelský návod verze 1.0. TELSYCO s.r.o. Prostřední 627/14 141 00 Praha 4. www.telsyco.cz FLOOR TALKER Uživatelský návod verze 1.0 TELSYCO s.r.o. Prostřední 627/14 141 00 Praha 4 e-mail: info@telsyco.cz Tel.: +420 272 103 217 Fax: +420 244 460 394 www.telsyco.cz Obsah 1. Úvod... 3 2. Základní

Více

SB8485. Převodník USB na 8x RS485/RS422. 8. září 2010 w w w. p a p o u c h. c o m 0197.01.01

SB8485. Převodník USB na 8x RS485/RS422. 8. září 2010 w w w. p a p o u c h. c o m 0197.01.01 Převodník USB na 8x RS485/RS422 8. září 2010 w w w. p a p o u c h. c o m 0197.01.01 SB8485 Katalogový list Vytvořen: 12.10.2007 Poslední aktualizace: 8.9 2010 15:03 Počet stran: 20 2010 Adresa: Strašnická

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p Přenos dat Ing. Jiří Vlček Následující text je určen pro výuku předmětu Číslicová technika a doplňuje publikaci Moderní elektronika. Je vhodný i pro výuku předmětu Elektronická měření. Přenos digitálních

Více

Zpracování informací

Zpracování informací Ústav automatizace a informatiky Fakulta strojního inženýrství Vysoké učení technické v Brně Přednáška č. 2 z předmětu Zpracování informací Ing. Radek Poliščuk, Ph.D. Tato publikace vznikla jako součást

Více

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor FASTPort Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům aneb Jak připojit koprocesor *) inteligentní karta = karta vybavená vlastním procesorem J. Němeček 12. 10. 2013 úvodní

Více

SPECIFIKACE DODÁVKY EPS A SERVISU EPS

SPECIFIKACE DODÁVKY EPS A SERVISU EPS Příloha č. 2 Smlouvy o zhotovení a servisu systému EPS v objektu KS ČSÚ Ostrava SPECIFIKACE DODÁVKY EPS A SERVISU EPS Dodávka EPS Popis a rozsah systému EPS: Předmětem plnění je zřízení nového systému

Více

2007/2008 ZS. operačních systémů

2007/2008 ZS. operačních systémů Principy počítačů a operačních systémů SBĚRNICOVÉ SYSTÉMY Struktura sběrnice datové linky adresové linky řídící linky Sběrnicové systémy Výhody: přidávání zařízení nízká cena lehké zvládnutí komplexity

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247 Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView 2. Číslicové počítače a jejich využití pro

Více

Adresovatelný převodník rozhraní RS48/RS232 ELO E250. Uživatelský manuál

Adresovatelný převodník rozhraní RS48/RS232 ELO E250. Uživatelský manuál Adresovatelný převodník rozhraní RS48/RS232 ELO E250 Uživatelský manuál 2 ELO E250ZK001 1.1 Použití převodníku...4 2.0 Principy činnosti...5 3.0 Instalace...5 3.1 Vybudování sběrnice RS-485...5 3.2 Připojení

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

Sběrnice PCI, PCI-X, PCI Express

Sběrnice PCI, PCI-X, PCI Express Sběrnice PCI, PCI-X, PCI Express Přehled PCI, PCI-X Meze paralelních sběrnic. Důvody pro zavedení vysokorychlostních sériových protokolů do systémových sběrnic. Vlastnosti sběrnice PCI Express. Zobecnění

Více

Ethernet Historie Ethernetu Princip

Ethernet Historie Ethernetu Princip 11 Ethernet Ethernet je technologie, která je používaná v budování lokálních sítích (LAN). V referenčním modelu ISO/OSI realizuje fyzickou a spojovou vrstvu, v modelu TCP/IP pak vrstvu síťového rozhraní.

Více

UDAQ-1216A UDAQ-1416A. multifunkèní modul pro rozhraní USB

UDAQ-1216A UDAQ-1416A. multifunkèní modul pro rozhraní USB UDAQ-1216A UDAQ-1416A multifunkèní modul pro rozhraní USB Záruèní a pozáruèní servis, technická podpora: adresa: TEDIA spol. s r. o., Zábìlská 12, 31211 Plzeò telefon: +420 377 478 168 fax: +420 377 478

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Vnější paměti. Vnější paměti. Dělení podle materiálu a fyzikálních principů

Vnější paměti. Vnější paměti. Dělení podle materiálu a fyzikálních principů Vnější paměti Cílem této kapitoly je seznámit s principy činnosti a základní stavbou vnějších pamětí, které jsou nezbytné pro práci počítače a dlouhodobé uchování dat. Klíčové pojmy: Paměťové médium, přenosová

Více

Úloha č. 2: Měření voltampérových charakteristik elektrických prvků pomocí multifunkční karty

Úloha č. 2: Měření voltampérových charakteristik elektrických prvků pomocí multifunkční karty Úloha č. 2: Měření voltampérových charakteristik elektrických prvků pomocí multifunkční karty Úvod Laboratorní úloha se zabývá měřením voltampérových charakteristik vybraných elektrických prvků pomocí

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 8 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Počítačové sítě internet

Počítačové sítě internet 1 Počítačové sítě internet Historie počítačových sítí 1969 ARPANET 1973 Vinton Cerf protokoly TCP, základ LAN 1977 ověření TCP a jeho využití 1983 rozdělení ARPANETU na vojenskou a civilní část - akademie,

Více

O autorovi 6 O odborném redaktorovi 7 Úvod 21 Laptop nebo notebook? 21 Co je cílem této knihy 22 Webové stránky autora 23 Osobní poznámka 23

O autorovi 6 O odborném redaktorovi 7 Úvod 21 Laptop nebo notebook? 21 Co je cílem této knihy 22 Webové stránky autora 23 Osobní poznámka 23 Obsah O autorovi 6 O odborném redaktorovi 7 Úvod 21 Laptop nebo notebook? 21 Co je cílem této knihy 22 Webové stránky autora 23 Osobní poznámka 23 KAPITOLA 1 Obecně o přenosných systémech 25 Definice přenosného

Více

JUMO mtron T Měřicí, regulační a automatizační systém

JUMO mtron T Měřicí, regulační a automatizační systém Typový list 705001 Strana 1/9 JUMO mtron T Měřicí, regulační a automatizační systém Centrální jednotka Krátký popis Centrální jednotka jako jeden ze základních modulů, je srdcem celého systému. Zahrnuje

Více

Hardware PC Interní a externí interface

Hardware PC Interní a externí interface Informační systémy 2 Hardware PC Interní a externí interface IS2-2015-05 24.3.2015 1 Sběrnice (anglicky bus) je skupina signálových vodičů, kterou lze rozdělit na skupiny řídicích, adresních a datových

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 7 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Elektronická stavebnice: Deska s jednočipovým počítačem

Elektronická stavebnice: Deska s jednočipovým počítačem Elektronická stavebnice: Deska s jednočipovým počítačem Modul s jednočipovým počítačem Modul s řídícím jednočipovým počítačem je centrálním prvkem stavebnice. Jeho konstrukce umožňuje přímé připojení do

Více

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení Připojení periferních zařízen zení 1 Koncepce připojení V/V zařízení V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče specializované (řadič disku) lze k nim připojit jen zařízení určitého

Více

CQ485. Opakovač a převodník linek RS485 a RS422. S aktivní i pasivní obnovou dat

CQ485. Opakovač a převodník linek RS485 a RS422. S aktivní i pasivní obnovou dat Opakovač a převodník linek RS485 a RS422 S aktivní i pasivní obnovou dat. CQ485 Katalogový list Vytvořen: 8.12.2004 Poslední aktualizace: 19.1.2011 13:54 Počet stran: 20 2011 Strana 2 CQ485 OBSAH Popis...

Více

Převodník USB na RS232. Milan Horkel

Převodník USB na RS232. Milan Horkel USBR0A Převodník USB na RS Milan Horkel Modul slouží jako univerzální převodník z USB na RS s výstupy na straně RS v úrovních TTL. Převodník používá obvod FTR od firmy FTDI. Tyto obvody jsou podporované

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448 Střední odborná škola elektrotechnická, Centrum odborné přípravy Zvolenovská 537, Hluboká nad Vltavou Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448 CZ.1.07/1.5.00/34.0448 1 Číslo projektu

Více

Sběrnicová struktura PC Interní počítačové paměti PC

Sběrnicová struktura PC Interní počítačové paměti PC Technické prostředky počítačové techniky Obsah: Sběrnicová struktura PC Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informatika 2 04 Zemřel otec e-mailu Aplikace Záchranka

Více

Rozšiřující modul s protokolem MODBUS

Rozšiřující modul s protokolem MODBUS Rozšiřující modul s protokolem MODBUS Návod na obsluhu Verze 1.00 dmm-ui8do8_g_cz_100 AMiT, spol. s r. o. nepřejímá žádné záruky, pokud se týče obsahu této publikace a vyhrazuje si právo měnit obsah dokumentace

Více

Vzdálené řízení modelu připojeného k programovatelnému automatu

Vzdálené řízení modelu připojeného k programovatelnému automatu Vzdálené řízení modelu připojeného k programovatelnému automatu Remote control of the model connected to Programmable Logic Controller Martin Malinka Bakalářská práce 2009 UTB ve Zlíně, Fakulta aplikované

Více

PB169 Operační systémy a sítě

PB169 Operační systémy a sítě PB169 Operační systémy a sítě Architektura poč. sítí, model OSI Marek Kumpošt, Zdeněk Říha Úvod počítačová síť Počítačová síť skupina počítačů a síťových zařízení vzájemně spojených komunikačním médiem

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Zemní ochrana rotoru generátoru ve spojení proudové injektážní jednotky PIZ 50V a ochrany REJ 521

Zemní ochrana rotoru generátoru ve spojení proudové injektážní jednotky PIZ 50V a ochrany REJ 521 Zemní ochrana rotoru generátoru ve spojení proudové injektážní jednotky PIZ 50V a ochrany REJ 521 Číslo dokumentu: 1MCZ300045 CZ Datum vydání: Září 2005 Revize: Copyright Petr Dohnálek, 2005 ISO 9001:2000

Více

Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem

Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem 9 221 PXC64-U DESIGO PX Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem PXC-U PXA30-T Volně programovatelné automatizační podstanice pro řízení a regulaci VVK a technických zařízení budov Sběrnice

Více

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU HOST PC - TARGET PC PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ Stanislav Flígl Katedra elektrických pohonů a trakce (K13114),

Více

Informační a komunikační technologie

Informační a komunikační technologie Informační a komunikační technologie 4. www.isspolygr.cz Vytvořil: Ing. David Adamovský Strana: 1 Škola Integrovaná střední škola polygrafická Ročník Název projektu 1. ročník SOŠ Interaktivní metody zdokonalující

Více

Seriové ATA, principy, vlastnosti

Seriové ATA, principy, vlastnosti Seriové ATA, principy, vlastnosti Snahy o zvyšování rychlosti v komunikaci s periferními zařízeními jsou velmi problematicky naplnitelné jedním z omezujících faktorů je fyzická konstrukce rozhraní a kabelů.

Více

RTU RTU. Podklady pro dokumentaci. Gateway to Modbus RTU RESET USB MODBUS RTU RS 485 DATA. Made in EU DATA

RTU RTU. Podklady pro dokumentaci. Gateway to Modbus RTU RESET USB MODBUS RTU RS 485 DATA. Made in EU DATA RTU Podklady pro dokumentaci + POWER 12VDC DATA DATA Made in EU USB RESET MODBUS RTU ON RTU Gateway to Modbus RTU RS 485 DOKTOR, s.r.o. NetKlima Katalogový list Vytvořen: 27.1.2015 Poslední aktualizace:

Více

DIGITÁLNÍ VSTUPNĚ/VÝSTUPNÍ KARTA S USB KONEKTIVITOU

DIGITÁLNÍ VSTUPNĚ/VÝSTUPNÍ KARTA S USB KONEKTIVITOU VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV AUTOMATIZACE A MĚŘICÍ TECHNIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION

Více

Teploměry a ovladače s digitální komunikací - řada AM. Tango. alpha nea. Základní technické parametry

Teploměry a ovladače s digitální komunikací - řada AM. Tango. alpha nea. Základní technické parametry provedení do rámečku Tango (standard) nebo alpha nea komunikace Modbus RTU po lince RS485 široký rozsah napájení vysoká přesnost měření nastavení korekce ovládacím kolečkem snadná montáž na standardní

Více

Převodník sériového rozhraní SLC-67/73/74

Převodník sériového rozhraní SLC-67/73/74 Převodník sériového rozhraní SLC-// Převodníky SLC-// jsou určeny k převodu a galvanickému oddělení signálů rozhraní RSC (V., V.) na rozhraní RSC, RS, RS nebo proudovou smyčku 0 ma. Typ galvanicky oddělené

Více

Sběrnice a rozhraní. Josef Voltr

Sběrnice a rozhraní. Josef Voltr Josef Voltr USB Centronics RS232 IEEE488/GPIB/HPIB ISA PCI ATA SCSI SATA USB původní úmysly připojení telefonu k PC, jednoduché použití (skutečné P&P), perspektiva pro různé periferie hvězdicovitá topologie

Více

DataLab IO. DataLab PC/IO

DataLab IO. DataLab PC/IO DataLab IO Průmyslové vstupně/výstupní jednotky s rozhraním USB, Ethernet nebo RS-485 DataLab PC/IO Průmyslový počítač se vstupně/výstupní jednotkou Tato publikace byla vytvořena ve snaze poskytnout přesné

Více

Smart Sensors and Wireless Networks Inteligentní senzory a bezdrátové sítě

Smart Sensors and Wireless Networks Inteligentní senzory a bezdrátové sítě XXX. ASR '2005 Seminar, Instruments and Control, Ostrava, April 29, 2005 519 Smart Sensors and Wireless Networks Inteligentní senzory a bezdrátové sítě WOJCIASZYK, Petr Ing., VŠB-TU Ostrava, FS, katedra

Více

Komunikace v síti M-Bus

Komunikace v síti M-Bus AP0010 APLIKAČNÍ POZNÁMKA Komunikace v síti M-Bus Abstrakt Přenos technologických dat mezi řídicími systémy firmy AMiT a měřiči energií prostřednictvím protokolu M-Bus. Autor: Zbyněk Říha Dokument: ap0010_cz_02.pdf

Více

1 Podrobná specifikace Yunifly Datasheet

1 Podrobná specifikace Yunifly Datasheet 1 Podrobná specifikace Yunifly Datasheet OBRAZEK fotky Yunifly obe desky zvlast + dohromady + top view - merge to one 1.1 Hmotnost a rozměry Elektronika Yunifly je složena ze dvou samostatných částí, které

Více

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI 1 Architektura SCSI 2 ParalelnírozhraníSCSI Sběrnice typu multimaster. Max. 8 resp. 16 zařízení. Různé elektrické provedení SE (Single Ended) HVD (High Voltage Differential) LVD (Low Voltage Differential)

Více

Snímač barometrického tlaku T2314, T2414

Snímač barometrického tlaku T2314, T2414 Snímač barometrického tlaku T2314, T2414 se sériovým výstupem RS232, RS485 Návod k použití Návod k použití Typ snímače Tlak Výstup Galvanické oddělení výstupu T2314 RS232 - T2414 RS485 Snímač je určen

Více

Ústav automobilního a dopravního inženýrství. Datové sběrnice CAN. Brno, Česká republika

Ústav automobilního a dopravního inženýrství. Datové sběrnice CAN. Brno, Česká republika Ústav automobilního a dopravního inženýrství Datové sběrnice CAN Brno, Česká republika Obsah Úvod Sběrnice CAN Historie sběrnice CAN Výhody Sběrnice CAN Přenos dat ve vozidle s automatickou převodovkou

Více

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr. 5.2-1: Analogový vstupní modul 07 AI 91

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr. 5.2-1: Analogový vstupní modul 07 AI 91 5. Analogový vstupní modul 07 AI 91 8 vstupů, konfigurovatelných pro teplotní senzory nebo jako proudové nebo napěťové vstupy, napájení 4 V DC, CS31 - linie 1 1 3 4 Obr. 5.-1: Analogový vstupní modul 07

Více

Snímače teploty a vlhkosti s komunikací po RS485 protokolem Modbus RTU - řada PHM

Snímače teploty a vlhkosti s komunikací po RS485 protokolem Modbus RTU - řada PHM Popis: Snímače jsou určeny pro měření teploty a vlhkosti vzduchu bez agresivních příměsí v klimatizačních kanálech, exteriérech a interiérech bez zvýšených estetických nároků na design. Měřené hodnoty

Více

Zabezpečení datových přenosů pomocí CRC

Zabezpečení datových přenosů pomocí CRC Zabezpečení datových přenosů pomocí CRC Cílem úlohy je seznámit se s funkčními principy využití CRC (Cyclic Redundancy Check), tedy s jeho: - matematickým základem - vlastnostmi a detekčními schopnostmi

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií Autor: Tomáš Válek, xvalek02@stud.fit.vutbr.cz Login: xvalek02 Datum: 21.listopadu 2012 Obsah 1 Úvod do rozhraní I 2 C (IIC) 1 2 Popis funkčnosti

Více

LOGIC. Stavebnice PROMOS Line 2. Technický manuál

LOGIC. Stavebnice PROMOS Line 2. Technický manuál ELSO, Jaselská 177 28000 KOLÍN, Z tel/fax +420-321-727753 http://www.elsaco.cz mail: elsaco@elsaco.cz Stavebnice PROMOS Line 2 LOGI Technický manuál 17. 04. 2014 2005 sdružení ELSO Účelová publikace ELSO

Více

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY Jiří Šebesta Ústav radioelektroniky, Fakulta elektroniky a komunikačních technologií Vysoké učení technické v Brně

Více

ecolink520 526: Modul odloučených I/O

ecolink520 526: Modul odloučených I/O SAUTER EYmodulo 5 PDS 94.075 cz Katalogový list EYEM520...526 ecolink520 526: Modul odloučených I/O Vaše výhoda pro dosažení vyšší energetické účinnosti Optimální přizpůsobení aplikací díky modulární technologii.

Více

Maturitní témata - PRT 4M

Maturitní témata - PRT 4M Maturitní témata - PRT 4M ústní zkouška profilové části Maturita - školní rok 2015/2016 1. Architektura mikrořadičů a PC 2. Popis mikrořadičů řady 51 3. Zobrazovací jednotky 4. Řadiče Atmel 5. Hradlová

Více

NABÍJEČKA NICD, NIMH A LI-ION AKUMULÁTORŮ NAPÁJENÁ A ŘÍZENÁ POMOCÍ USB NICD, NIMH AND LI-ION ACCUMULATOR CHARGER SUPPLIED AND CONTROLLED VIA USB

NABÍJEČKA NICD, NIMH A LI-ION AKUMULÁTORŮ NAPÁJENÁ A ŘÍZENÁ POMOCÍ USB NICD, NIMH AND LI-ION ACCUMULATOR CHARGER SUPPLIED AND CONTROLLED VIA USB VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV TELEKOMUNIKACÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF TELECOMMUNICATIONS

Více

Výklad učiva: Co je to počítač?

Výklad učiva: Co je to počítač? Výklad učiva: Co je to počítač? Počítač je v informatice elektronické zařízení a výpočetní technika, která zpracovává data pomocí předem vytvořeného programu. Současný počítač se skládá z hardware, které

Více

Převodník na DIN lištu s frekvenčním výstupem typ RF1

Převodník na DIN lištu s frekvenčním výstupem typ RF1 Převodník na DIN lištu s frekvenčním výstupem typ RF1 frekvenční výstup 1Hz 20kHz volba typu vstupu: (Pt100, Pt1000, Ni 1000, 0 100Ω, 0 1000Ω, 0 5V, 0 10V, 4 20mA, 0 20mA) konfigurace převodníku programem

Více

Principy činnosti sběrnic

Principy činnosti sběrnic Cíl přednášky: Ukázat, jak se vyvíjely architektury počítačů v souvislosti s architekturami sběrnic. Zařadit konkrétní typy sběrnic do vývojových etap výpočetních systémů. Ukázat, jak jsou tyto principy

Více

TEPL2344 Technická dokumentace PŘEVODNÍK TEPLOTY. typ TEPL2344 s rozhraním RS232. www.aterm.cz

TEPL2344 Technická dokumentace PŘEVODNÍK TEPLOTY. typ TEPL2344 s rozhraním RS232. www.aterm.cz PŘEVODNÍK TEPLOTY typ TEPL2344 s rozhraním RS232 www.aterm.cz 1 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku

Více

Specifikace modulu. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota. Provozní vlhkost. Skladovací vlhkost.

Specifikace modulu. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota. Provozní vlhkost. Skladovací vlhkost. Modul má čtyři elektricky oddělené kontakty typu C. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm K elektricky oddělenému kontaktu relé. Provozní teplota

Více

USB-1052. komunikaèní modul RS-232, RS-422/485

USB-1052. komunikaèní modul RS-232, RS-422/485 komunikaèní modul RS-232, RS-422/485 Záruèní a pozáruèní servis, technická podpora: adresa: TEDIA spol. s r. o., Zábìlská 12, 31211 Plzeò telefon: +420 377 478 168 fax: +420 377 478 169 e-mail: podpora_com@tedia.cz

Více

TENZOMETRICKÝ MĚŘIČ. typ Tenz2293. www.aterm.cz

TENZOMETRICKÝ MĚŘIČ. typ Tenz2293. www.aterm.cz TENZOMETRICKÝ MĚŘIČ typ Tenz2293 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla doložena shoda s příslušnými

Více

LTC 8500 Modulární maticové přepínače a řídicí systémy Allegiant

LTC 8500 Modulární maticové přepínače a řídicí systémy Allegiant CCTV LTC 85 Modulární maticové přepínače a řídicí systémy Allegiant LTC 85 Modulární maticové přepínače a řídicí systémy Allegiant Přepínání 64 kamer na 8 monitorech 8 nezávislých klávesnic Modulární konstrukce

Více

DISTA. Technická dokumentace. Pokyny pro obsluhu a údržbu. Verze 2.5

DISTA. Technická dokumentace. Pokyny pro obsluhu a údržbu. Verze 2.5 DISTA Technická dokumentace Pokyny pro obsluhu a údržbu Verze 2.5 Průmyslová 1880 565 01 CHOCEŇ tel.: +420-465471415 fax: +420-465382391 e-mail: starmon@starmon.cz http://www.starmon.cz CZECH REPUBLIC

Více

Konektory a Kabely. Aneb zařízení integrovaná do základní desky a konektory a kabeláž pro připojení externích zařízení

Konektory a Kabely. Aneb zařízení integrovaná do základní desky a konektory a kabeláž pro připojení externích zařízení Karel Johanovský Michal Bílek SPŠ-JIA Konektory a Kabely Aneb zařízení integrovaná do základní desky a konektory a kabeláž pro připojení externích zařízení 1 Zařízení integrovaná do MB Základní deska se

Více

NÁVOD K OBSLUZE. Obj. č.: 99 96 35 Zkrácený návod k obsluze

NÁVOD K OBSLUZE. Obj. č.: 99 96 35 Zkrácený návod k obsluze NÁVOD K OBSLUZE Obj. č.: 99 96 35 Zkrácený návod k obsluze Toto stanici musí mít každý, kdo má problémy s připojením určitých periférií (například s klávesnicí) a nemá svůj notebook (počítač) vybaven příslušnými

Více

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007 Měřič krevního tlaku Ing. Martin Švrček martin.svrcek@phd.feec.vutbr.cz Ústav biomedicínckého inženýrství Fakulta elektrotechniky a komunikačních technologií VUT v Brně Kolejní 4, 61200 Brno Tento článek

Více

dtron 16.1 Kompaktní mikroprocesorový regulátor

dtron 16.1 Kompaktní mikroprocesorový regulátor MĚŘENÍ A REGULACE dtron 16.1 Kompaktní mikroprocesorový regulátor Vestavná skříňka podle DIN 43 700 Krátký popis Kompaktní mikroprocesorový regulátor dtron 16.1 s čelním rámečkem o rozměru 48 mm x 48 mm

Více

DÁLKOVÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ V PROSTŘEDÍ CONTROL WEB 5

DÁLKOVÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ V PROSTŘEDÍ CONTROL WEB 5 1 DÁLKOVÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ V PROSTŘEDÍ CONTROL WEB 5 VŠB - Technická Univerzita Ostrava, Katedra automatizační techniky a řízení Příspěvek popisuje způsoby přístupů k řídicím systémům na nejnižší

Více

GO80 TargGR-EM. Čtečka tf hit pro panely Targha. Kompletní příručka

GO80 TargGR-EM. Čtečka tf hit pro panely Targha. Kompletní příručka GO80 TargGR-EM Čtečka tf hit pro panely Targha Kompletní příručka 2014, TECHFASS s.r.o., Věštínská 1611/19, 153 00 Praha 5, www.techfass.cz, techfass@techfass.cz (vydáno dne: 2014/06/06, platné pro FW

Více

Sbě b r ě n r i n ce

Sbě b r ě n r i n ce Sběrnice Sběrnice paralelní & sériové PCI, PCI-X PCI Express, USB Typ přenosu dat počet vodičů & způsob přenosu interní & externí ISA, PCI, PCI express & USB, FireWare Lokální & universální VL Bus PCI

Více

8xDCOUT-CAN. (modul osmi výstupů s rozhraním CAN) rev. 1.0

8xDCOUT-CAN. (modul osmi výstupů s rozhraním CAN) rev. 1.0 (modul osmi výstupů s rozhraním CAN) rev. 1.0 Obsah 1 Charakteristika modulu... 3 2 Elektrické parametry... 3 2.1 Výstupy... 3 2.2 Vstupy... 4 2.3 Napájení... 4 3 Komunikace... 5 3.1 CAN CANOpen... 5 3.1.1

Více

URMET DOMUS DIGITÁLNÍ SYSTÉM 1072 DIGITÁLNÍ SYSTÉM 1072 OBECNÁ ČÁST

URMET DOMUS DIGITÁLNÍ SYSTÉM 1072 DIGITÁLNÍ SYSTÉM 1072 OBECNÁ ČÁST DIGITÁLNÍ SYSTÉM 1072 OBECNÁ ČÁST Systém 1072 je vhodný pro nové instalace i pro modernizaci stávajících systémů domovních telefonů a videotelefonů malé a střední velikosti. Základní vlastnosti: Instalace

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Katedra měření Diplomová práce Sběr a vizualizace dat získaných měřením indukčnostním snímačem. Praha 2007 Tomáš Doležal České vysoké učení

Více

Porovnání korelátorů dodávaných firmou Halma Water Management

Porovnání korelátorů dodávaných firmou Halma Water Management Katalog výrobků Porovnání korelátorů dodávaných firmou Halma Water Management MicroCorr Digital DX Digitální radiový přenos Digitální senzor MicroCALL+ DigiCALL MicroCorr 7 SoundSens "i" Analogový senzor

Více

českém Úvod Obsah balení Technické údaje PU101 Sweex 2 Port Serial ATA RAID PCI Card

českém Úvod Obsah balení Technické údaje PU101 Sweex 2 Port Serial ATA RAID PCI Card PU101 Sweex 2 Port Serial ATA RAID PCI Card Úvod Především bychom vám chtěli poděkovat za zakoupení výrobku Sweex 2 Port Serial ATA RAID PCI Card. Tento výrobek vám umožní jednoduše přidat k vašemu počítači

Více

JUMO ecotrans ph 03 Mikroprocesorový převodník / spínací zařízení hodnoty ph / redox potenciálu a teploty

JUMO ecotrans ph 03 Mikroprocesorový převodník / spínací zařízení hodnoty ph / redox potenciálu a teploty Strana 1/7 JUMO ecotrans ph 03 Mikroprocesorový převodník / spínací zařízení hodnoty ph / redox potenciálu a teploty s dvouřádkovým LC displejem pro montáž na DIN lištu 35 mm Krátký popis V závislosti

Více

NÁVOD K MONTÁŽI A OBSLUZE. Obj. č.: 96 77 20

NÁVOD K MONTÁŽI A OBSLUZE. Obj. č.: 96 77 20 NÁVOD K MONTÁŽI A OBSLUZE Obj. č.: 96 77 20 2 Kaskádování reléové karty Obr. 1 Obr. 2 Obr. 3 Prosím přečtěte si velmi důležité! Než uvedete reléovou kartu do provozu, přečtěte si prosím kompletně tento

Více

Uživatelská příručka

Uživatelská příručka Česky Interface USB DMX512 http://www.soh.cz Uživatelská příručka Úvodní informace. 2 Instalace ovladačů. 2 Vlastnosti DMX PIPE.. 4 Obsah balení. 4 Zapojení kabelu DMX512 4 Propojení DMX512 modulů.....

Více

Bluetooth reproduktor Sonar LED

Bluetooth reproduktor Sonar LED Bluetooth reproduktor Sonar LED Uživatelská příručka 33041 Důležité bezpečnostní pokyny Reproduktor uchovávejte mimo vodu a další kapaliny. Reproduktor uchovávejte mimo dosah zdrojů tepla. Úpravou hlasitosti

Více