Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Rozměr: px
Začít zobrazení ze stránky:

Download "Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody"

Transkript

1 Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody

2 Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše čipu (křemíkového plátku) velikosti řádově několik cm 2 je integrováno (soustředěno) velké množství aktivních i pasivních součástek; spolu tvoří elektrický obvod (vykonávají společnou funkci) zejména tranzistorů, diod, rezistorů kondenzátory a indukčnosti se realizují obtížně

3 počet součástek může být v řádu od desítek až po několik miliónů čip je zapouzdřen v plastovém nebo keramickém pouzdru, na okrajích pouzdra jsou vyvedeny nožičky (piny) cíl návrhářů: zvyšovat počet součástek na jednotkovou plochu čipu (zvyšovat stupeň integrace)

4 stupeň integrace se udává: počtem tranzistorů počtem tzv. ekvivalentních hradel kolik běžných diskrétních hradel bychom potřebovali k realizaci daného obvodu stupeň integrace závisí na zvládnuté technologii při návrhu IO návrháři umísťují součástky a vodivé cesty do rastru dnes typicky 45 nm součástky a cesty jsou na čipu ve více vrstvách více úrovní metalizace

5 Ukázka integrovaného obvodu

6 Dělení integrovaných obvodů dělení z hlediska technologie výroby hybridní integrované obvody historické, dnes se již nepoužívají miniaturní plošný spoj je osazen miniaturními diskrétními součástkami monolitické integrované obvody obvod je vytvořen z monolitického bloku krystalu křemíku

7 Pouzdra integrovaných obvodů na použitém pouzdru závisejí tepelné (a tím i výkonové) vlastnosti integrovaného obvodu a cena plastové pouzdro je výrobně levnější, ale hůře odvádí teplo keramické pouzdro je dražší, ale lépe odvádí teplo příkon obvodů technologie CMOS závisí kvadraticky na frekvenci hodinového signálu

8 Používaná pouzdra Klasická pouzdra se umísťují do patic nebo se pájejí do plošek s otvorem DIP (DIL) - Dual in Line Package vývody po obou stranách pouzdra

9 Pouzdro DIP

10 Povrchová montáž SMT Surface Montage Technology technologie povrchové montáže princip: součástky SMD (Surface Monage Device) jsou připájeny (nebo přilepeny vodivým lepidlem) na plošky bez otvorů

11 Pouzdro SOP

12 Dělení obvodů podle stupně integrace obvody malé integrace small scale integration - SSI obvody střední integrace middle scale integration - MSI obvody velké integrace large scale integration - LSI obvody velmi velké integrace very large scale integration - VLSI

13 Poznámky: dnes se začíná hovořit o obvodech ULSI Ultra Large Scale Integration hranice mezi kategoriemi a názvy kategorií se mohou lišit v různé literatuře

14 Obvody malé integrace SSI na ploše čipu jednotky, max. desítky hradel hlavní období nasazení: konec 60. let, 70. léta stále se vyrábějí (cena za obvod do 20 Kč) dnešní oblast použití pomocné obvody k obvodům vyšší integrace (zejména k jednočipovým mikropočítačům) v tzv. embeded aplikacích (dálkové ovladače, ovládací panely spotřební elektroniky do této kategorie patří nejstarší obvody z řady 74xx a CMOS 4000, jako 7400, 7402, 7420, 7474, 74125

15 Některé obvody SSI

16 Příklady aplikace obvodu SSI: rozsvěcování výkonové LED pin procesoru není schopen dodat dostatečný proud použijeme pro napájení LED obvod 74125, což je výkonový třístavový budič, a zapojíme jej mezi pin procesoru (obvod VLSI) a diodu. dlouhé vodiče sběrnice na desce plošných spojů použijeme k vybuzení obvodu (8x třístavový výkonový obousměrný budič sběrnice)

17 Obvody střední integrace MSI na ploše čipu desítky až stovky hradel multiplexory, demultiplexory, komparátory, vícebitové klopné obvody (registry), posuvné registry, čítače, dekodéry a jednoduché aritmetické obvody (např. čtyřbitová sčítačka) aj. na zásuvné kartě ISA do počítače PC z počátku 90. let nalezneme ještě asi polovinu obvodů MSI dnešní uplatnění: stejné jako u obvodů malé integrace doplňkové obvody

18 Některé obvody MSI

19 Dekodér pro 7-segmentovou jednotku (7449) b0 b1 b2 b3 Dekodér A B C D E F G

20 Pravdivostní tabulka dekodéru segment svítí, je-li na jeho vstupu log. 0 (jednotka konstruována se společnou anodou) b 3 b 2 b 1 b 0 A B C D E F G

21 Příklad aplikace obvodu MSI: zobrazení cifer na dvou sedmisegment. jednotkách použijeme posuvné registry

22 Multiplexor významný kombinační obvod z kategorie MSI používá se jako stavební blok při návrhu obvodů VLSI (procesorů) zkratka: MPX, MUX česky: selektor dat funkce: vybírá na výstup o jeden z n datových vstupů i 0 až i n-1 počet vstupů je roven mocnině dvou výběr se určuje řídicími signály s

23 Příklad: schématická značka 4-vstupového MPX pravdivostní tabulka

24 rovnice a vnitřní schéma

25 Příklad: obvod osmivstupový multiplexor Použití výběr zdroje dat pro aritmetickou jednotku počítače

26

27 Demultiplexor/dekodér obvod s opačnou funkcí než multiplexor funkce: vstup i je kopírován na jeden z n výstupů o 0 až o n-1 počet výstupů je roven určité mocnině dvou, výběr výstupu se určuje řídicími signály ostatní nevybrané výstupy mají zpravidla hodnotu log. 0

28 Příklad: obvod dekodér s osmi výstupy Použití: dekodér adresy

29 Pravdivostní tabulka demultiplexoru:

30 Využití demultiplexoru jako dekodéru adresy D0-D7 vstupní data povolení zápisu 8 R0 R1 A0 A1 S0 S1 E0 E1 E2 E3 demultiplexor/dekodér R2 R3

31 Obvody velké integrace a velmi velké integrace LSI - tisíce až statisíce hradel VLSI až milióny hradel (tranzistorů) první procesor Pentium měl asi 5 mil. tranzistorů na čipu obvod Virtex 7 od firmy XILINX má 6,8 miliard tranzistorů na čipu obvody VLSI mohou být univerzální procesory speciální řadiče graf. karet, řídicí obvody do letadel vyrobené na přání zákazníka

32 plně zákaznické Dělení obvodů VLSI zákazník si navrhne obvod zcela sám, výrobce jej vyrobí (velmi drahé) polozákaznické zákazník používá při návrhu obvodu prefabrikované bloky ASIC aplikačně specifické integrované obvody speciální: programovatelné obvody zákazníkem

33 Programovatelné obvody univerzálníčíslicové obvody, funkci určuje zákazník obecné označení PLD Programmable Logic Devices obsahují základní logickéčleny, vodiče a mezi nimi programovatelné propojovací prvky (spínací tranzistory) princip: zákazník ve speciálním software nakreslí schéma, sw jej zpracuje (syntéza), výsledkem jsou konfigurační data pro obvod

34 Jazyky pro popis digit. obvodů dnes už návrháři schéma obvodů nekreslí zdlouhavé, pomalé popisují zapojení a chování číslicových obvodů ve speciálních jazycích pro popis hardware software (syntezátor) provede minimalizaci funkcí, optimalizaci výstupem jsou konfigurační data pro programovatelný obvod nebo data pro výrobu čipu (obrázek spoje čipu) návrhář digitálních obvodů je spíš dnes programátorem

35 Jazyky pro popis digit. obvodů Dva nejpoužívanější jazyky: VHDL VHSIC Hardware Description Language odvozen od jazyka ADA a Pascal rozšířen zejména v Evropě Verilog odvozen od jazyka C rozšířen v USA

36 Popis dekodéru ve VHDL nejprve popíšeme obvod jako krabičku se vstupy a výstupy entity dekoder is Port ( b0 : in std_logic; b1 : in std_logic; b2 : in std_logic; b3 : in std_logic; A : out std_logic; B : out std_logic; C : out std_logic; D : out std_logic; E : out std_logic; F : out std_logic; G : out std_logic ); end dekoder;

37 Popis dekodéru ve VHDL pak popíšeme chování rovnicemi architecture Behavioral of dekoder is begin... C <= (not b0 and b1 and not b2); E <= b0 or (not b1 and b2);... end Behavioral;

38 Popis dekodéru ve VHDL nemusíme rovnice vytvářet, stačí přepsat tabulku, rovnice vytvoří software sám

39 Popis dekodéru ve VHDL architecture Behavioral of segmentovka is signal vstup: std_logic_vector(3 downto 0); signal vystup: std_logic_vector(6 downto 0); begin vstup <= (b3,b2,b1,b0); with vstup select vystup <= " " when "0000", " " when "0001", " " when "0010", " " when "0011", " " when "0100", " " when "0101", " " when "0110", " " when "0111", " " when "1000", " " when "1001", "XXXXXXX" when others; A <= vystup(6); B <= vystup(5); C <= vystup(4); D <= vystup(3); E <= vystup(2); F <= vystup(1); G <= vystup(0); end Behavioral;

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V. 2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V. 2.3 Polovodiče a jejich využití Kapitola

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

Polovodičov. ové prvky. 4.přednáška

Polovodičov. ové prvky. 4.přednáška Polovodičov ové prvky 4.přednáška Polovodiče Základem polovodičových prvků je obvykle čtyřmocný (obsahuje 4 valenční elektrony) krystal křemíku (Si). Čisté krystaly křemíku mají za pokojové teploty jen

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

ELEKTRONICKÉ SOUČÁSTKY

ELEKTRONICKÉ SOUČÁSTKY ELEKTRONICKÉ SOUČÁSTKY POUZDŘENÍ ČIP POUZDRO ZÁKLADNA umožňuje připojení OCHRANNÝ KRYT ne vždy POUZDRO ZÁKLADNÍ FUNKCE rozvod napájení rozvod signálu odvod tepla zajištění mechanické pevnosti zajištění

Více

Sčítačky Válcový posouvač. Demonstrační cvičení 6

Sčítačky Válcový posouvač. Demonstrační cvičení 6 Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Historie počítačů. 0.generace. (prototypy)

Historie počítačů. 0.generace. (prototypy) Historie počítačů Historie počítačů se dělí do tzv. generací, kde každá generace je charakteristická svou konfigurací, rychlostí počítače a základním stavebním prvkem. Generace počítačů: Generace Rok Konfigurace

Více

Téma 32. Petr Kotál (kotal.p@seznam.cz)

Téma 32. Petr Kotál (kotal.p@seznam.cz) Téma 32 Petr Kotál (kotal.p@seznam.cz) Zadání: Realizační prvky logických obvodů. Logický řídící systém, řadič řídícího systému. Mikroprogramové automaty, volně programovatelné automaty PLC, mikropočítačové

Více

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury úvod Programovatelné obvodyřadíme mezi univerzální logické bloky, resp. programovatelné logické moduly. Obecné označení

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

Elektronická stavebnice: Teploměr s frekvenčním výstupem

Elektronická stavebnice: Teploměr s frekvenčním výstupem Elektronická stavebnice: Teploměr s frekvenčním výstupem Teploměr s frekvenčním výstupem je realizován spojením modulu běžných vstupů a výstupů spolu s deskou s jednočipovým počítačem a modulem zobrazovače

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Procesor EU peníze středním školám Didaktický učební materiál

Procesor EU peníze středním školám Didaktický učební materiál Procesor EU peníze středním školám Didaktický učební materiál Anotace Označení DUMU: VY_32_INOVACE_IT1.05 Předmět: Informatika a výpočetní technika Tematická oblast: Úvod do studia informatiky, konfigurace

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

2.8 Kodéry a Rekodéry

2.8 Kodéry a Rekodéry 2.8 Kodéry a Rekodéry 2.8.1 Úkol měření 1. Navrhněte a realizujte rekodér z kódu BCD na kód 2421 a ověřte jeho funkčnost 2. Navrhněte a realizujte rekodér z kódu 2421 na kód BCD a ověřte jeho funkčnost

Více

Programovatelný časový spínač 1s 68h řízený jednočip. mikroprocesorem v3.0a

Programovatelný časový spínač 1s 68h řízený jednočip. mikroprocesorem v3.0a Programovatelný časový spínač 1s 68h řízený jednočip. mikroprocesorem v3.0a Tato konstrukce představuje časový spínač řízený mikroprocesorem Atmel, jehož hodinový takt je odvozen od přesného krystalového

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

TECHNICKÁ DOKUMENTACE

TECHNICKÁ DOKUMENTACE Střední škola, Havířov-Šumbark, Sýkorova 1/613, příspěvková organizace TECHNICKÁ DOKUMENTACE Rozmístění a instalace prvků a zařízení Ing. Pavel Chmiel, Ph.D. OBSAH VÝUKOVÉHO MODULU 1. Součástky v elektrotechnice

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta Tower

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta Tower Popis zapojení a návod k osazení desky plošných spojů STN-A varianta Tower Desku plošných spojů (DPS) STN-A je možné osadit více způsoby. Na tomto místě se budeme zabývat variantou Tower, která je určena

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEI Technologie jednoduchých montážních prací

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEI Technologie jednoduchých montážních prací Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEI - 2.6 Technologie jednoduchých montážních prací Obor: Mechanik elektronik Ročník: 1. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Elektronika pro informační technologie (IEL)

Elektronika pro informační technologie (IEL) Elektronika pro informační technologie (IEL) Páté laboratorní cvičení Brno University of Technology, Faculty of Information Technology Božetěchova 1/2, 612 66 Brno - Královo Pole Petr Veigend, iveigend@fit.vutbr.cz

Více

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta RS232-RS485

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta RS232-RS485 Popis zapojení a návod k osazení desky plošných spojů STN-A varianta RS232-RS485 Desku plošných spojů (DPS) STN-A je možné osadit více způsoby. Na tomto místě se budeme zabývat variantou RS232-RS485. Ta

Více

Přerušení na PC. Fakulta informačních technologií VUT v Brně Ústav informatiky a výpočetní techniky. Personální počítače, technická péče cvičení

Přerušení na PC. Fakulta informačních technologií VUT v Brně Ústav informatiky a výpočetní techniky. Personální počítače, technická péče cvičení Fakulta informačních technologií VUT v Brně Ústav informatiky a výpočetní techniky Personální počítače, technická péče cvičení 5 Přerušení na PC Zadání Seznamte se s konstrukcí cvičné zásuvné adaptérové

Více

Kategorie M. Test. U všech výpočtů uvádějte použité vztahy včetně dosazení! 1 Sběrnice RS-422 se používá pro:

Kategorie M. Test. U všech výpočtů uvádějte použité vztahy včetně dosazení! 1 Sběrnice RS-422 se používá pro: Mistrovství České republiky soutěže dětí a mládeže v radioelektronice, Vyškov 2011 Test Kategorie M START. ČÍSLO BODŮ/OPRAVIL U všech výpočtů uvádějte použité vztahy včetně dosazení! 1 Sběrnice RS-422

Více

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru jsou používány

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

Spínače s tranzistory řízenými elektrickým polem. Používají součástky typu FET, IGBT resp. IGCT

Spínače s tranzistory řízenými elektrickým polem. Používají součástky typu FET, IGBT resp. IGCT Spínače s tranzistory řízenými elektrickým polem Používají součástky typu FET, IGBT resp. IGCT Základní vlastnosti spínačů s tranzistory FET, IGBT resp. IGCT plně řízený spínač nízkovýkonové řízení malý

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2007 Obsah 1. Kvadraturní dekodér...3

Více

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

EduKitBeta Uživatelská příručka

EduKitBeta Uživatelská příručka EduKitBeta Uživatelská příručka Výuková deska pro mikrokontroléry Microchip PIC v pouzdře DIL18 OBSAH EduKitBeta 3 Popis zařízení 3 Periférie mikrokontroléru 3 Tabulka zapojení portů na desce Udukit Beta

Více

Kroužek elektroniky 2010-2011

Kroužek elektroniky 2010-2011 Dům dětí a mládeže Bílina Havířská 529/10 418 01 Bílina tel. 417 821 527 http://www.ddmbilina.cz e-mail: ddmbilina@seznam.cz Kroužek elektroniky 2010-2011 Dům dětí a mládeže Bílina 2010-2011 1 (pouze pro

Více

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI 7. Pracovní postupy Posloupnosti analytických a syntetických

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Popis zapojení a návod k osazení desky plošných spojů STN-G

Popis zapojení a návod k osazení desky plošných spojů STN-G Popis zapojení a návod k osazení desky plošných spojů STN-G STN-G je aplikací zaměřenou především na detekci obsazenosti a to až 4 izolovaných úseků. Doplňkově ji lze osadit i detektorem přítomnosti DCC

Více

Procesor. Hardware - komponenty počítačů Procesory

Procesor. Hardware - komponenty počítačů Procesory Procesor Jedna z nejdůležitějších součástek počítače = mozek počítače, bez něhož není počítač schopen vykonávat žádné operace. Procesor v počítači plní funkci centrální jednotky (CPU - Central Processing

Více

VÝUKOVÝ MATERIÁL. 3. ročník učebního oboru Elektrikář Přílohy. bez příloh. Identifikační údaje školy

VÝUKOVÝ MATERIÁL. 3. ročník učebního oboru Elektrikář Přílohy. bez příloh. Identifikační údaje školy VÝUKOVÝ MATERIÁL Identifikační údaje školy Číslo projektu Název projektu Číslo a název šablony Autor Tematická oblast Číslo a název materiálu Anotace Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková

Více

Střídací tabule na fotbal

Střídací tabule na fotbal Středoškolská technika 2014 Setkání a prezentace prací středoškolských studentů na ČVUT Střídací tabule na fotbal Jan Šlapák VOŠ a SŠSE Novovysočanská 48/280 Praha 9 1 Úvod Na fotbale se střídací tabule

Více

ISŠT Mělník. Integrovaná střední škola technická Mělník, K učilišti 2566, 276 01 Mělník Ing.František Moravec

ISŠT Mělník. Integrovaná střední škola technická Mělník, K učilišti 2566, 276 01 Mělník Ing.František Moravec ISŠT Mělník Číslo projektu Označení materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0061 VY_32_ INOVACE_C.3.06 Integrovaná střední škola technická Mělník, K učilišti 2566, 276 01

Více

SKARAB ROBOT KSR5. Stavebnice. 1. Úvod a charakteristika. 2. Seznam elektronických součástek

SKARAB ROBOT KSR5. Stavebnice. 1. Úvod a charakteristika. 2. Seznam elektronických součástek SKARAB ROBOT KSR5 1. Úvod a charakteristika Stavebnice Děkujeme, že jste si koupili stavebnici KSR5. Dříve než s ní začnete pracovat, prostudujte pečlivě tento návod k použití. Robot k detekci překážek

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

BROUK ROBOT KSR6. Stavebnice. 1. Úvod a charakteristika. 2. Seznam elektronických součástek

BROUK ROBOT KSR6. Stavebnice. 1. Úvod a charakteristika. 2. Seznam elektronických součástek BROUK ROBOT KSR6 1. Úvod a charakteristika Stavebnice Děkujeme, že jste si koupili stavebnici KSR6. Dříve než s ní začnete pracovat, prostudujte pečlivě tento návod k použití. KSR6 používá infračervené

Více

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

Zobrazovací jednotky. 1 z :53. LED technologie.

Zobrazovací jednotky.  1 z :53. LED technologie. 1 z 11 14. 11. 2016 23:53 Zobrazovací jednotky slouží k zobrazení informací většinou malého rozsahu. Základní dělení dle technologie. Základní dělení dle možností zobrazování. Základní dělení dle technologie:

Více

Hlídač světel automobilu

Hlídač světel automobilu Hlídač světel automobilu Jan Perný 24.07.2006 www.pernik.borec.cz 1 Úvod Protože se u nás stalo povinným celoroční svícení a za nedodržení tohoto nařízení hrozí poměrně vysoké sankce, požádal mě bratr,

Více

SuperCom. Stavebnice PROMOS Line 2. Technický manuál

SuperCom. Stavebnice PROMOS Line 2. Technický manuál ELSACO, Jaselská 77 28000 KOLÍN, CZ tel/fax +420-32-727753 http://www.elsaco.cz mail: elsaco@elsaco.cz Stavebnice PROMOS Line 2 SuperCom Technický manuál 2. 04. 2005 2005 sdružení ELSACO Účelová publikace

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_46_Kombinační sítě Název školy

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou-, tří- a čtyřdrátové Provozní teplota -25 C až

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

VÝVOJOVÁ DESKA PRO JEDNOČIPOVÝ MIKROPOČÍTAČ PIC 16F88 A. ZADÁNÍ FUNKCE A ELEKTRICKÉ PARAMETRY: vstupní napětí: U IN AC = 12 V (např.

VÝVOJOVÁ DESKA PRO JEDNOČIPOVÝ MIKROPOČÍTAČ PIC 16F88 A. ZADÁNÍ FUNKCE A ELEKTRICKÉ PARAMETRY: vstupní napětí: U IN AC = 12 V (např. VÝVOJOVÁ DESKA PRO JEDNOČIPOVÝ MIKROPOČÍTAČ PIC 16F88 A. ZADÁNÍ FUNKCE A ELEKTRICKÉ PARAMETRY: vstupní napětí: U IN AC = 12 V (např. z transformátoru TRHEI422-1X12) ovládání: TL1- reset, vývod MCLR TL2,

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

VETRONICS 760. Technická specifikace mobilní jednotky

VETRONICS 760. Technická specifikace mobilní jednotky Technická specifikace mobilní jednotky VETRONICS 760 Revize 1.0, květen 2017 PRINCIP a.s. Radlická 204/503, 158 00 Praha 5 Tel.: +420 257 21 09 04, Fax: +420 257 22 02 51 E-mail: centrum@princip.cz, reklamace@princip.cz

Více

Stručný návod pro návrh přístrojového napájecího zdroje

Stručný návod pro návrh přístrojového napájecího zdroje Stručný návod pro návrh přístrojového napájecího zdroje Michal Kubíček Ústav radioelektroniky FEKT VUT v Brně Poznámka Návod je koncipován jako stručný úvod pro začátečníky v oblasti návrhu neizolovaných

Více

B. TVORBA DOKUMENTACE NA PC- EAGLE

B. TVORBA DOKUMENTACE NA PC- EAGLE B. TVORBA DOKUMENTACE NA PC- EAGLE Návrhový systém EAGLE se skládá ze tří modulů, které nám umožní zpracovat základní dokumentaci k elektronickému obvodu: 1. návrh schématu - schématický editor - SCH E,

Více

Témata na ústní zkoušku profilové části maturitní zkoušky z předmětu PROJEKTOVÁNÍ MIKROPROCESOROVÝCH SYSTÉMŮ Školní rok 2018/2019

Témata na ústní zkoušku profilové části maturitní zkoušky z předmětu PROJEKTOVÁNÍ MIKROPROCESOROVÝCH SYSTÉMŮ Školní rok 2018/2019 Témata na ústní zkoušku profilové části maturitní zkoušky z předmětu PROJEKTOVÁNÍ MIKROPROCESOROVÝCH SYSTÉMŮ Školní rok 2018/2019 Třída: Obor (ŠVP): Sestavil: Vedoucí úseku: Schválil: ETS4 26 41 M/01 Elektrotechnika

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Modul výkonových spínačů s tranzistory N-FET

Modul výkonových spínačů s tranzistory N-FET NFET4X0AB Modul výkonových spínačů s tranzistory N-FET Milan Horkel Ve starých mainboardech počítačů PC bývají pěkné veliké tranzistory N-FET, které je možné využít. Tranzistory bývají tak asi na proud

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEIII - 3.0.2 ÚVOD DO SMT TECHNOLOGIE II

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEIII - 3.0.2 ÚVOD DO SMT TECHNOLOGIE II Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEIII - 3.0.2 ÚVOD DO SMT TECHNOLOGIE II Obor: Mechanik elektronik Ročník: 3. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Základní deska (motherboard, mainboard)

Základní deska (motherboard, mainboard) Základní deska (motherboard, mainboard) Jedná se o desku velkou cca 30 x 25 cm s plošnými spoji s množstvím konektorů a slotů připravených pro vložení konkrétních komponent (operační paměť, procesor, grafická

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/ Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView Logické stavy, číselné systémy, typy logických

Více

Násobičky, Boothovo překódování. Demonstrační cvičení 7

Násobičky, Boothovo překódování. Demonstrační cvičení 7 Násobičky, Boothovo překódování INP Demonstrační cvičení 7 Obsah Princip násobení Sekvenční a kombinační násobička Kombinační násobičky ve VHDL Násobení se znaménkem (FX) Boothovo překódování, VHDL Násobení

Více

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu Název školy Číslo projektu Autor Název šablony Název DUMu Tematická oblast Předmět Druh učebního materiálu Anotace Vybavení, pomůcky Ověřeno ve výuce dne, třída Střední průmyslová škola strojnická Vsetín

Více

Zvyšující DC-DC měnič

Zvyšující DC-DC měnič - 1 - Zvyšující DC-DC měnič (c) Ing. Ladislav Kopecký, 2007 Na obr. 1 je nakresleno principielní schéma zapojení zvyšujícího měniče, kterému se také říká boost nebo step-up converter. Princip je založen,

Více

PGSM-M10 - GSM/GPRS moduly s M10

PGSM-M10 - GSM/GPRS moduly s M10 PGSM-M10 - GSM/GPRS moduly s M10 Malé GSM/GPRS komunikační moduly PGSM-M10 jsou určeny pro pohodlný vývoj mobilních aplikací a vestavbu do dalších zařízení. Díky svým rozměrům a integraci všech potřebných

Více

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ 3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné v souhlasu se zadanou logickou funkcí.

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Elektronická stavebnice: Deska s jednočipovým počítačem

Elektronická stavebnice: Deska s jednočipovým počítačem Elektronická stavebnice: Deska s jednočipovým počítačem Modul s jednočipovým počítačem Modul s řídícím jednočipovým počítačem je centrálním prvkem stavebnice. Jeho konstrukce umožňuje přímé připojení do

Více

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

červená LED 1 10k LED 2

červená LED 1 10k LED 2 Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více