SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE

Rozměr: px
Začít zobrazení ze stránky:

Download "SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE"

Transkript

1 SČÍTAČKA, LOGICKÉ OBVODY ÚVOD Konzultanti: Peter Žilavý, Jindra Vypracovali: Petr Koupý, Martin Pokorný Datum: Naším úkolem bylo sestrojit pomocí logických obvodů (tzv. hradel) jednoduchou 4 bitovou kalkulačku, která by uměla alespoň sčítat. Výsledkem naší práce mělo být ucelené řešení se vstupní a výstupní jednotkou, čtyřmi moduly pro výpočet jednotlivých bitů a napájení. Základní typy logických hradel jsou vyobrazeny v následující tabulce: A B neg A A and B A or B A nand B A nor B A xor B neg negace nand negace konjunkce and konjunkce nor negace disjunkce or disjunkce xor exclusive or = negace ekvivalence Pozn. 1: Více stejných hradel je schopno tvořit jiná hradla (např. ze 3 hradel nand hradlo or). Pozn. 2: Logická nula je v elektrickém obvodu reprezentována napětím v rozmezí 0V až 0,8V. Logická jednička potom napětím 3,2V až 5V. Práce s binární soustavou Převody: TEORIE (14) 10 = (1110) 2 14 mod 2 = 0 (14 div 2) mod 2 = 7 mod 2 = 1 (7 div 2) mod 2 = 3 mod 2 = 1 (3 div 2) mod 2 = 1 mod 2 = 1 Výsledné číslo zapisujeme pozpátku, tedy (1110) 2 = (14) 10 1 x x x x 2 0 = 14 Sčítání: Sčítání probíhá na principu hradla xor, přičemž pokud se sečtou dvě logické 1, pak dochází k přenosu logické 1 do vyššího řádu. Binárně Desítkově Odčítání: Je analogické ke sčítání kladného a záporného čísla. Pro zápis záporného čísla se používá tzv. doplňkový kód. Pro záporná čísla platí tato pravidla: 1) Číslo se zapíše stejně, jako kdyby bylo kladné (kromě prvního bitu ten bude 1, což určuje záporné číslo) 2) Všechny bity kromě prvního se invertují (z jedniček se stanou nuly a z nul jedničky) 3) K takto vzniklému číslu se ještě standardně přičte jednička

2 Tak například pro zápis čísla -5 do 8 bitů vypadá předchozí postup následovně: 1) Zapíšeme číslo 5 ( ) 2) První bit změníme na 1 ( ) 3) Invertujeme dané bity ( ) 4) Přičteme 1 a získáme Při sečtení kladného a záporného binárního čísla je nutné ignorovat bit v nejvyšším řádu výsledku. Binárně Desítkově 0101 = = 0010 = 10 2 Příprava V prvním kroku bylo třeba zjistit, jakým způsobem musíme zapojit jednotlivé obvody za sebe, aby výsledkem byl celkový součet. O součet každého bitu se stará samostatný obvod. K navržení schématu nám pomohla tabulka pravdivostních hodnot. Každý bit pracuje se dvěma vstupy A a B a přenosem z minulého bitu P. První zvýrazněný sloupec vyjadřuje výsledek součtu V. Druhý zvýrazněný sloupec vyjadřuje přenos do vyššího řádu P out. A B P C = (A xor B) C xor P A and B C and P (A and B) or (C and P) Došli jsme tedy k tomuto zapojení: A B xor xor V P in and and or P out

3 PRAXE Testovací modul Následně jsme vyrobili první testovací modul, na kterém jsme ověřili správnost zapojení jednotlivých prvků. Pro testovací bit jsme jako podklad zvolili MDF desku ta se ale kvůli své malé tloušťce neosvědčila (nemohli jsme do ní zatlouci hřebíčky dostatečně hluboko a při zatloukání vedlejšího se ten minulý uvolnil). Pro výsledné moduly jsme tedy použili smrkové destičky. Celková konstrukce Celý obvod se skládá ze 4 samostatných modulů pro bity (8x12cm), vstupního modulu klávesnice (7x12cm), výstupního modulu s LED diodami (6x7cm) a základové desky (36x36cm) se zdrojem a vypínačem. Pro názornost jsme upustili od letování na tištěný spoj, na kterém není při pohledu shora vidět propojení jednotlivých prvků. Základem modulů se tedy staly smrkové destičky, na které se v místech pozic jednotlivých součástek natloukly mosazné hřebíčky. Moduly se po dokončení přilepily tavnou pistolí na základovou desku. Bitové moduly Z teoretické části plyne, že pro každý bit jsou nutná hradla and, or a xor. Vzhledem k tomu, že mezi hradly or a xor je rozdíl pouze v jednou případě, ke kterému v našem zapojení nikdy nemůže dojít, mohli jsme místo hradla or (a tedy dalšího integrovaného obvodu) použít již implementované hradlo xor. Tato skutečnost plyne ze zapojení schématu. Registrace přenosu do vyššího řádu probíhá na dvou místech před a po prvním hradle xor. Pokud k registraci přenosu dojde před hradlem, znamená to, že oba vstupy byly 1. Výsledek jejich součtu je ale potom 0, a tedy bez ohledu na přenos z minulého bitu nedojde k registraci za hradlem. Podobně to platí obráceně. Pokud tedy na hradle or nikdy nebudou zároveň dvě logické 1, můžeme místo něj použít hradlo xor. Pro hradlo xor byl použit integrovaný obvod 74HCT86E a pro hradlo and potom MH54ALS08. Každý tento integrovaný obvod obsahuje 2 řady nožiček po 7 kusech, celkem tedy 14, z nichž dvě jsou potřebné pro napájení a zbylých dvanáct je využito pro logické operace. Pro každou operaci, kterou naše kalkulačka používá, jsou potřeba dva vstupy a jeden výstup. - I 1,1 I 1,2 O 1 I 2,1 I 2,2 O Integrovaný obvod I 3,1 I 3,2 O 3 I 4,1 I 4,2 O 4 + Každý integrovaný obvod tedy obsahuje 4 hradla, ovšem pro názornost a kvůli rozdělení sčítačky na jednotlivé moduly jsme každý bit osadili samostatnou dvojicí těchto integrovaných obvodů. 3 z 8 hradel jsou tedy nevyužity. Na vstupu každého modulu je dvojice odpovídajících bitů ze sčítaných čísel (A, B) a přenos z minulého bitu (P in ). Výstupem je výsledek součtu (V) a přenos do dalšího řádu (P out ). Jedinou výjimkou je první bit, jehož konstrukce je díky absenci přenosu z minulého řádu mnohem jednodušší. Výstupní prvek

4 Výsledek sčítání je realizován 5-ti svítivými LED diodami. Vstupem na tuto výstupní jednotku jsou výsledky všech bitů a ještě přenos z posledního bitu. Každá dioda je k napájení připojena přes vlastní 330Ω odpor. Pozn. 3: Celkově lze tedy ze dvou 4 bitových čísel získat sčítáním číslo 5-ti bitové (maximální součet = = 30 = (1110) 2 ). Všech 5 diod nebude nikdy rozsvíceno, protože hodnota (11111) 2 odpovídá číslu 31, k jejímuž dosažení by byl potřeba alespoň jeden 5-ti bitový vstup. Vstupní prvek Čísla, která chceme sečíst zadáváme na klávesnici, která je tvořena dvěma řádkami čtyř přepínačů. Každý řádek představuje jedno číslo, jeden 4 bitový vstup. Přepínače jsou k desce přilepeny (stejně jako všechny moduly k základní desce) tavnou pistolí. Výstupem celého modulu jsou dvě 4 bitová čísla (A 1 A 2 A 3 A 4 a B 1 B 2 B 3 B 4 ). Základní deska Jednotlivé moduly jsou na připojeny na společné napájení tvořené jednou plochou 4,5V baterií. Ta je k desce přidělána pomocí gumičky, takže je vyměnitelná a přesto drží ve své pozici i při překlopení desky vzhůru nohama (testováno se všemi moduly). Napájecí část obvodu je také vybavena vypínačem (opět přilepeném tavnou pistolí). ZÁVĚR Úkol projektu - vytvořit alespoň 4 bitovou sčítačku - byl úspěšně splněn. Tento projekt jsme si vybrali, protože nás zaujala myšlenka zrealizovat logický obvod názornou, demonstrační formou. Nápady na konečnou podobu jednotlivých modulů přicházely postupně napřed vznikl návrh sčítacích modulů, potom výstupního a nakonec vstupního modulu. Na začátku projektu se např. vůbec nepočítalo s klávesnicí, vypínačem a zdrojem integrovaným na základní desce. Při vymýšlení a sestavování jsme si zopakovali výrokovou logiku a praktickou realizaci složitějšího elektrického obvodu. Naše díky patří konzultantům za jejich rady a vstřícný přístup.

5 PŘÍLOHA - testovací modul - - celkový pohled -

6 - výpočetní modul - výstupní modul -

7 - vstupní modul -

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Struktura a architektura počítačů (BI-SAP) 5

Struktura a architektura počítačů (BI-SAP) 5 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 5 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY KOMBINAČNÍ LOGICKÉ OBVODY Použité zdroje: http://cs.wikipedia.org/wiki/logická_funkce http://www.ibiblio.org http://martin.feld.cvut.cz/~kuenzel/x13ups/log.jpg http://www.mikroelektro.utb.cz http://www.elearn.vsb.cz/archivcd/fs/zaut/skripta_text.pdf

Více

Aritmetické operace a obvody pro jejich realizaci

Aritmetické operace a obvody pro jejich realizaci Kapitola 4 Aritmetické operace a obvody pro jejich realizaci 4.1 Polyadické číselné soustavy a jejich vlastnosti Polyadické soustavy jsou určeny přirozeným číslem z, kterému se říká základ nebo báze dané

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody.

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody. Y36SAP Číselné soustavy a kódy, převody, aritmetické operace Tomáš Brabec, Miroslav Skrbek - X36SKD-cvičení. Úpravy pro SAP Hana Kubátová Osnova Poziční číselné soustavy a převody Dvojková soust., převod

Více

2.8 Kodéry a Rekodéry

2.8 Kodéry a Rekodéry 2.8 Kodéry a Rekodéry 2.8.1 Úkol měření 1. Navrhněte a realizujte rekodér z kódu BCD na kód 2421 a ověřte jeho funkčnost 2. Navrhněte a realizujte rekodér z kódu 2421 na kód BCD a ověřte jeho funkčnost

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MODERNIZCE VÝUK PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod XOR neboli EXLUSIV OR Obor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Střídací tabule na fotbal

Střídací tabule na fotbal Středoškolská technika 2014 Setkání a prezentace prací středoškolských studentů na ČVUT Střídací tabule na fotbal Jan Šlapák VOŠ a SŠSE Novovysočanská 48/280 Praha 9 1 Úvod Na fotbale se střídací tabule

Více

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování 8. Rozšiřující deska Evb_IO a Evb_Motor Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem

Více

PROTOKOL O LABORATORNÍM CVIČENÍ

PROTOKOL O LABORATORNÍM CVIČENÍ STŘENÍ PRŮMYSLOVÁ ŠKOL V ČESKÝH UĚJOVIÍH, UKELSKÁ 3 ÚLOH: ekodér binárního kódu na sedmisegmentový displej 0.. Zadání PROTOKOL O LORTORNÍM VIČENÍ Navrhněte a realizujte dekodér z binárního kódu na sedmisegmentovku.

Více

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě:

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě: Přednášející : Ing. Petr Haberzettl Zápočet : práce na doma hlavně umět vysvětlit Ze 120 lidí udělá maximálně 25 :D Literatura : Frištacký - Logické systémy Číselné soustavy: Nevyužíváme 10 Druhy soustav:

Více

Algoritmizace a programování

Algoritmizace a programování Algoritmizace a programování Výrazy Operátory Výrazy Verze pro akademický rok 2012/2013 1 Operace, operátory Unární jeden operand, operátor se zapisuje ve většině případů před operand, v některých případech

Více

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY DIGITÁLN LNÍ OBVODY A MIKROPROCESORY BDOM Prof. Ing. Radimír Vrba, CSc. Doc. Ing. Pavel Legát, CSc. Ing. Radek Kuchta Ing. Břetislav Mikel Ústav mikroelektroniky FEKT VUT @feec.vutbr.cz

Více

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony.

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK. Tomáš Bayer bayertom@natur.cuni.cz

Více

Hlídač světel automobilu

Hlídač světel automobilu Hlídač světel automobilu Jan Perný 24.07.2006 www.pernik.borec.cz 1 Úvod Protože se u nás stalo povinným celoroční svícení a za nedodržení tohoto nařízení hrozí poměrně vysoké sankce, požádal mě bratr,

Více

Úplný systém m logických spojek. 3.přednáška

Úplný systém m logických spojek. 3.přednáška Úplný sstém m logických spojek 3.přednáška Definice Úplný sstém m logických spojek Řekneme, že množina logických spojek S tvoří úplný sstém logických spojek, jestliže pro každou formuli A eistuje formule

Více

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup ELEKTONIKA I N V E S T I C E D O O Z V O J E V Z D Ě L Á V Á N Í 1. Usměrňování a vyhlazování střídavého a. jednocestné usměrnění Do obvodu střídavého proudu sériově připojíme diodu. Prochází jí proud

Více

teorie logických spojek chápaných jako pravdivostní funkce

teorie logických spojek chápaných jako pravdivostní funkce Výroková logika teorie logických spojek chápaných jako pravdivostní funkce zabývá se způsoby tvoření výroků pomocí spojek a vztahy mezi pravdivostí různých výroků používá specifický jazyk složený z výrokových

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové.

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové. Příprava na cvčení č.1 Čísla a artmetka Číselné soustavy Obraz čísla A v soustavě o základu z: m A ( Z ) a z (1) n kde: a je symbol (číslce) z je základ m je počet řádových míst, na kterých má základ kladný

Více

Mikroprocesorová technika (BMPT)

Mikroprocesorová technika (BMPT) Mikroprocesorová technika (BMPT) Přednáška č. 10 Číselné soustavy v mikroprocesorové technice Ing. Tomáš Frýza, Ph.D. Obsah přednášky Číselné soustavy v mikroprocesorové technice Dekadická, binární, hexadecimální

Více

Výroková logika II. Negace. Již víme, že negace je změna pravdivostní hodnoty výroku (0 1; 1 0).

Výroková logika II. Negace. Již víme, že negace je změna pravdivostní hodnoty výroku (0 1; 1 0). Výroková logika II Negace Již víme, že negace je změna pravdivostní hodnoty výroku (0 1; 1 0). Na konkrétních příkladech si ukážeme, jak se dají výroky negovat. Obecně se výrok dá negovat tak, že před

Více

Násobení. MI-AAK(Aritmetika a kódy)

Násobení. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Násobení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

ARITMETICKÉ OPERACE V BINÁRNÍ SOUSTAVĚ

ARITMETICKÉ OPERACE V BINÁRNÍ SOUSTAVĚ Sčítání binárních čísel Binární čísla je možné sčítat stejným způsobem, jakým sčítáme čísla desítková. Příklad je uveden v tabulce níže. K přenosu jedničky do vyššího řádu dojde tehdy, jeli výsledkem součtu

Více

2. ÚVOD DO OVLÁDACÍ TECHNIKY

2. ÚVOD DO OVLÁDACÍ TECHNIKY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 2. ÚVOD DO OVLÁDACÍ TECHNIKY OVLÁDACÍ TECHNIKA A LOGICKÉ ŘÍZENÍ 2.1.5 LOGICKÉ FUNKCE Cíle: Po prostudování

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Univerzita Tomáše Bati ve Zlíně

Univerzita Tomáše Bati ve Zlíně Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Struktura logických obvodů Přednáška č. 10 Milan Adámek adamek@ft.utb.cz U5 A711 +420576035251 Struktura logických obvodů 1 Struktura logických

Více

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor MĚŘENÍ Laboratorní cvičení z měření část 3-6-1 Teoretický rozbor Výukový materiál Číslo projektu: CZ.1.07/1.5.00/34.0093 Šablona: III/2 Inovace a zkvalitnění výuky prostřednictvím ICT Sada: 1 Číslo materiálu:

Více

Elektronické praktikum EPR1

Elektronické praktikum EPR1 Elektronické praktikum EPR1 Úloha číslo 4 název Záporná zpětná vazba v zapojení s operačním zesilovačem MAA741 Vypracoval Pavel Pokorný PINF Datum měření 9. 12. 2008 vypracování protokolu 14. 12. 2008

Více

Struktura a architektura počítačů (BI-SAP) 6

Struktura a architektura počítačů (BI-SAP) 6 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 6 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Elektronika pro informační technologie (IEL)

Elektronika pro informační technologie (IEL) Elektronika pro informační technologie (IEL) Třetí laboratorní cvičení Brno University of Technology, Faculty of Information Technology Božetěchova 1/2, 612 66 Brno - Královo Pole inecasova@fit.vutbr.cz

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty Data v počítači Informační data (elementární datové typy) Logické hodnoty Znaky Čísla v pevné řádové čárce (celá čísla) v pohyblivé (plovoucí) řád. čárce (reálná čísla) Povelová data (instrukce programu)

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

LEKCE 6. Operátory. V této lekci najdete:

LEKCE 6. Operátory. V této lekci najdete: LEKCE 6 Operátory V této lekci najdete: Aritmetické operátory...94 Porovnávací operátory...96 Operátor řetězení...97 Bitové logické operátory...97 Další operátory...101 92 ČÁST I: Programování v jazyce

Více

PJC Cvičení #2. Číselné soustavy a binární reprezentace proměnných

PJC Cvičení #2. Číselné soustavy a binární reprezentace proměnných PJC Cvičení #2 Číselné soustavy a binární reprezentace proměnných Číselné soustavy Desítková (decimální) kdo nezná, tak...!!! Dvojková (binární) - nejjednodušší Šestnáctková (hexadecimální) - nejpoužívanější

Více

Číselné soustavy. Ve světě počítačů se využívají tři základní soustavy:

Číselné soustavy. Ve světě počítačů se využívají tři základní soustavy: Číselné soustavy Ve světě počítačů se využívají tři základní soustavy: dekadická binární hexadecimální patří mezi soustavy poziční, tj. desítková hodnota každé číslice (znaku) závisí na její pozici vzhledem

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

Teorie úlohy: Operační zesilovač je elektronický obvod, který se využívá v měřící, výpočetní a regulační technice. Má napěťové zesílení alespoň A u

Teorie úlohy: Operační zesilovač je elektronický obvod, který se využívá v měřící, výpočetní a regulační technice. Má napěťové zesílení alespoň A u Fyzikální praktikum č.: 7 Datum: 7.4.2005 Vypracoval: Tomáš Henych Název: Operační zesilovač, jeho vlastnosti a využití Teorie úlohy: Operační zesilovač je elektronický obvod, který se využívá v měřící,

Více

Výrazy a operátory. Operátory Unární - unární a unární + Např.: a +b

Výrazy a operátory. Operátory Unární - unární a unární + Např.: a +b Výrazy a operátory i = 2 i = 2; to je výraz to je příkaz 4. Operátory Unární - unární a unární + Např.: +5-5 -8.345 -a +b - unární ++ - inkrement - zvýší hodnotu proměnné o 1 - unární -- - dekrement -

Více

TENZOMETRICKÝ PŘEVODNÍK

TENZOMETRICKÝ PŘEVODNÍK TENZOMETRICKÝ PŘEVODNÍK typ TZD10490R4 www.aterm.cz 1 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla doložena

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

DUM 02 téma: Elementární prvky logiky výklad

DUM 02 téma: Elementární prvky logiky výklad DUM 02 téma: Elementární prvky logiky výklad ze sady: 01 Logické obvody ze šablony: 01 Automatizační technika I Určeno pro 3. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Střední průmyslová škola elektrotechniky a informatiky, Ostrava VÝROBNÍ DOKUMENTACE

Střední průmyslová škola elektrotechniky a informatiky, Ostrava VÝROBNÍ DOKUMENTACE Střední průmyslová škola elektrotechniky a informatiky, Ostrava Číslo dokumentace: VÝROBNÍ DOKUMENTACE Jméno a příjmení: Třída: E2B Název výrobku: Interface/osmibitová vstupní periferie pro mikropočítač

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

Testování a spolehlivost. 1. Laboratoř Poruchy v číslicových obvodech

Testování a spolehlivost. 1. Laboratoř Poruchy v číslicových obvodech Testování a spolehlivost ZS 2011/2012 1. Laboratoř Poruchy v číslicových obvodech Martin Daňhel Katedra číslicového návrhu Fakulta informačních technologií ČVUT v PRaze Příprava studijního programu Informatika

Více

Program "Světla" pro mikropočítač PMI-80

Program Světla pro mikropočítač PMI-80 Program "Světla" pro mikropočítač PMI-80 Dokument věnovaný mikropočítači PMI-80, jeho programování a praktickým ukázkám. Verze dokumentu:. Autor: Blackhead Datum: rok 1997, 4.3.004 1 Úvod Tento program

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

ČÍSELNÉ SOUSTAVY PŘEVODY

ČÍSELNÉ SOUSTAVY PŘEVODY ČÍSELNÉ SOUSTAVY V každodenním životě je soustava desítková (decimální, dekadická) o základu Z=10. Tato soustava používá číslice 0, 1, 2, 3, 4, 5, 6, 7, 8 a 9, není však vhodná pro počítače nebo číslicové

Více

12. Elektrotechnika 1 Stejnosměrné obvody Kirchhoffovy zákony

12. Elektrotechnika 1 Stejnosměrné obvody Kirchhoffovy zákony . Elektrotechnika Stejnosměrné obvody Kirchhoffovy zákony . Elektrotechnika Kirchhoffovy zákony Při řešení elektrických obvodů, tedy různě propojených sítí tvořených zdroji, odpory (kapacitami a indukčnostmi)

Více

Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS

Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS Autor: Michal Štrick Vedoucí práce: Ing. Josef Grosman TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových

Více

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech Nejjednodušší stavební návody Verze V.4, stav k 5. prosinci 2014. Byl upraven Stavební návod na Cvrčka. Víte o dalších zajímavých návodech?

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_46_Kombinační sítě Název školy

Více

V následujícím obvodě určete metodou postupného zjednodušování hodnoty zadaných proudů, napětí a výkonů. Zadáno: U Z = 30 V R 6 = 30 Ω R 3 = 40 Ω R 3

V následujícím obvodě určete metodou postupného zjednodušování hodnoty zadaných proudů, napětí a výkonů. Zadáno: U Z = 30 V R 6 = 30 Ω R 3 = 40 Ω R 3 . STEJNOSMĚNÉ OBVODY Příklad.: V následujícím obvodě určete metodou postupného zjednodušování hodnoty zadaných proudů, napětí a výkonů. Z 5 5 4 4 6 Schéma. Z = 0 V = 0 Ω = 40 Ω = 40 Ω 4 = 60 Ω 5 = 90 Ω

Více

Čísla, reprezentace, zjednodušené výpočty

Čísla, reprezentace, zjednodušené výpočty Čísla, reprezentace, zjednodušené výpočty Přednáška 5 A3B38MMP kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, ČVUT - FEL, kat. měření 1 Čísla 4 bitová dec bin. hex. 0 0000 0 1 0001

Více

( ) Jako základ mocnin nemusíme používat jen 10. Pokud není jasné, že číslo je uvedeno v desítkové soustavě, píšeme jej takto: ( 12054 ) 10

( ) Jako základ mocnin nemusíme používat jen 10. Pokud není jasné, že číslo je uvedeno v desítkové soustavě, píšeme jej takto: ( 12054 ) 10 .. Číselné soustavy I Předpoklady: základní početní operace Pedagogická poznámka: Tato a následující hodina není součástí klasické gymnaziální sady. Upřímně řečeno nevím proč. Jednak se všichni studenti

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Výroková logika. p, q, r...

Výroková logika. p, q, r... Výroková logika Výroková logika je logika, která zkoumá pravdivostní podmínky tvrzení a vztah vyplývání v úsudcích na základě vztahů mezi celými větami. Můžeme též říci, že se jedná o logiku spojek, protože

Více

Číselné soustavy a převody mezi nimi

Číselné soustavy a převody mezi nimi Číselné soustavy a převody mezi nimi Základní požadavek na počítač je schopnost zobrazovat a pamatovat si čísla a provádět operace s těmito čísly. Čísla mohou být zobrazena v různých číselných soustavách.

Více

Přenos zvuku laserem

Přenos zvuku laserem Dokumentace projektu Přenos zvuku laserem Vedouci projektu: Mgr. Zdeňek Polák Vypracovali: Otakar Frankl, Jan Levínský, Kateřina Žilavá Plasnice 2014 Rádi bychom poděkovali Zdeňkovi Polákovi za jeho pomoc

Více

Minimalizace logické funkce

Minimalizace logické funkce VYSOKÉ UČENÍ TEHNIKÉ V RNĚ FKULT ELEKTROTEHNIKY KOMUNIKČNÍH TEHNOLOGIÍ Ústav mikroelektroniky LORTORNÍ VIČENÍ Z PŘEDMĚTU Digitální integrované obvody Minimalizace logické funkce Michal Krajíček Martin

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C Výstup 24 Vss, negativní logika, 0,5 A, 2 body Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou-,

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

2.POPIS MĚŘENÉHO PŘEDMĚTU Měřeným předmětem je operační zesilovač. Pro měření byla použita souprava s operačním zesilovačem, kde napájení bylo 5V

2.POPIS MĚŘENÉHO PŘEDMĚTU Měřeným předmětem je operační zesilovač. Pro měření byla použita souprava s operačním zesilovačem, kde napájení bylo 5V IEDL.EB 9 /6.ZADÁNÍ a) Změřte vstupní odpor operačního zesilovače v invertujícím zapojení pro konfiguraci = 0kΩ, = 0kΩ, = 0,5V, = 5V b) Ověřte funkci napěťového sledovače (A =, = 0Ω). Změřte zesílení pro

Více

4.6.6 Složený sériový RLC obvod střídavého proudu

4.6.6 Složený sériový RLC obvod střídavého proudu 4.6.6 Složený sériový LC obvod střídavého proudu Předpoklady: 4, 4605 Minulá hodina: Ohmický odpor i induktance omezují proud ve střídavém obvodu, nemůžeme je však sčítat normálně, ale musíme použít Pythagorovu

Více

2. Pomocí Theveninova teorému zjednodušte zapojení na obrázku, vypočtěte hodnoty jeho prvků. U 1 =10 V, R 1 =1 kω, R 2 =2,2 kω.

2. Pomocí Theveninova teorému zjednodušte zapojení na obrázku, vypočtěte hodnoty jeho prvků. U 1 =10 V, R 1 =1 kω, R 2 =2,2 kω. A5M34ELE - testy 1. Vypočtěte velikost odporu rezistoru R 1 z obrázku. U 1 =15 V, U 2 =8 V, U 3 =10 V, R 2 =200Ω a R 3 =1kΩ. 2. Pomocí Theveninova teorému zjednodušte zapojení na obrázku, vypočtěte hodnoty

Více

Technická koncepce inovovaných výstražníků LED firmy Betamont Zvolen

Technická koncepce inovovaných výstražníků LED firmy Betamont Zvolen Technická koncepce inovovaných výstražníků LED firmy Betamont Zvolen František Gonda, Betamont s.r.o. Zvolen, Ivan Konečný, KAE FEL ZČU Plzeň Jedním z výrobků firmy Betamont Zvolen s.r.o. který firma vyvinula

Více

Úloha 1: Zapojení integrovaného obvodu MA 7805 jako zdroje napětí a zdroje proudu

Úloha 1: Zapojení integrovaného obvodu MA 7805 jako zdroje napětí a zdroje proudu Úloha 1: Zapojení integrovaného obvodu MA 7805 jako zdroje napětí a zdroje proudu ELEKTRONICKÉ PRAKTIKUM FJFI ČVUT V PRAZE Číslo úlohy: 1 Autor: František Batysta Datum měření: 18. října 2011 Ročník a

Více

Popis obvodu U2403B. Funkce integrovaného obvodu U2403B

Popis obvodu U2403B. Funkce integrovaného obvodu U2403B ASICentrum s.r.o. Novodvorská 994, 142 21 Praha 4 Tel. (02) 4404 3478, Fax: (02) 472 2164, E-mail: info@asicentrum.cz ========== ========= ======== ======= ====== ===== ==== === == = Popis obvodu U2403B

Více

Určeno pro posluchače všech bakalářských studijních programů FS

Určeno pro posluchače všech bakalářských studijních programů FS rčeno pro posluchače všech bakalářských studijních programů FS. STEJNOSMĚNÉ OBVODY pravil ng. Vítězslav Stýskala, Ph D. září 005 Příklad. (výpočet obvodových veličin metodou postupného zjednodušováni a

Více

V počítači jsou jen jednotky a nuly

V počítači jsou jen jednotky a nuly V počítači jsou jen jednotky a nuly Obsah 1. Dvojková číselná soustava 2. Základy práce v dvojkové soustavě 3. Booleova algebra, logické funkce a binární číslice (bity) 4. Základní logické operátory 5.

Více

Výroková logika - opakování

Výroková logika - opakování - opakování ormální zavedení Výroková formule: Máme neprázdnou nejvýše spočetnou množinu A výrokových proměnných. 1. Každá proměnná je výroková formule 2. Když α, β jsou formule, potom ( α), (α β), (α

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Úvod do logiky (VL): 5. Odvození výrokových spojek z jiných

Úvod do logiky (VL): 5. Odvození výrokových spojek z jiných Logika: systémový rámec rozvoje oboru v ČR a koncepce logických propedeutik pro mezioborová studia (reg. č. CZ.1.07/2.2.00/28.0216, OPVK) Úvod do logiky (VL): 5. Odvození z jiných doc. PhDr. Jiří Raclavský,

Více

MĚŘENÍ HRADLA 1. ZADÁNÍ: 2. POPIS MĚŘENÉHO PŘEDMĚTU: 3. TEORETICKÝ ROZBOR. Poslední změna

MĚŘENÍ HRADLA 1. ZADÁNÍ: 2. POPIS MĚŘENÉHO PŘEDMĚTU: 3. TEORETICKÝ ROZBOR. Poslední změna MĚŘENÍ HRADLA Poslední změna 23.10.2016 1. ZADÁNÍ: a) Vykompenzujte sondy potřebné pro připojení k osciloskopu b) Odpojte vstupy hradla 1 na přípravku a nastavte potřebný vstupní signál (Umax, Umin, offset,

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

ČÍSELNÉ SOUSTAVY. Číselnou soustavu, která pro reprezentaci čísel využívá pouze dvou číslic, nazýváme soustavou dvojkovou nebo binární.

ČÍSELNÉ SOUSTAVY. Číselnou soustavu, která pro reprezentaci čísel využívá pouze dvou číslic, nazýváme soustavou dvojkovou nebo binární. Číselné soustavy V běžném životě používáme soustavu desítkovou. Desítková se nazývá proto, že má deset číslic 0 až 9 a v jednom řádu tak dokáže rozlišit deset různých stavů. Mikrokontroléry (a obecně všechny

Více