Stavový diagram a návrh obvodov

Rozměr: px
Začít zobrazení ze stránky:

Download "Stavový diagram a návrh obvodov"

Transkript

1 Stavový diagram a návrh obvodov

2 Stavový diagram a návrh obvodov [1] Stavy sekvenčných obvodov sú definované dvoma druhmi veličín: vstupnými údajmi pamäťovými prvkami Správanie sa obvodu môžeme popísať (okrem tabuľkovej formy) aj tzv. stavovým diagramom, ktorý znázorňuje podmienky, za ktorých obvod prechádza z jedného stavu do iného. Stavom obvodu rozumieme hodnoty jeho pamäťových prvkov v danom okamihu. Pri práci so stavovým diagramom rozlišujeme dva časové okamžiky a im prislúchajúce stavy obvodu: východzí stav a stav po komutácii (stav po vykonaní jedného kroku) Označujeme ich indexmi napr. n a n+1.

3 Stavový diagram a návrh obvodov [2] Pre D-klopný obvod sú takýmito veličinami vstupná hodnota D a stav priameho výstupu obvodu Q. Tabuľkovo: D=0 Q=0 Q=1 D n Q n Q n nulovanie nastavenie alebo zjednodušene: D 0 1 D=1 D

4 Stavový diagram a návrh obvodov [3] Podobne pre RS klopný obvod (asynchrónny): R S Q n Q n nulovanie nastavenie X X zakázaný stav RS S 0 1 RS R

5 Stavový diagram a návrh obvodov [4] Skúsme navrhnúť synchrónny klopný obvod s novými vlastnosťami: pamäťový režim nulovanie nastavenie preklopenie potrebujeme dva vstupy (J, K), ktorými sa dá vybrať jeden zo štyroch režimov. Tabuľkovo: J K Q n Q n+1 režim činnosť pamäťový režim nulovanie nulovanie nastavenie nastavenie nastavenie preklopenie nulovanie

6 Stavový diagram a návrh obvodov [5] Z tabuľky vyčítame, že skutočné nulovanie (t.j. prechod Q: 1 0) nasta- J K Q n Q n+1 režim činnosť pamäťový režim nulovanie nulovanie nastavenie nastavenie preklopenie nastavenie nulovanie ne vo dvoch prípadoch (J=0, K=1, Q n =1) a (J=1, K=1, Q n =1), čomu zodpovedá zápis v tvare mintermov: JJ. KK. QQ nn + JJ. KK. QQ nn = (JJ + JJ). KK. QQ nn = KK. QQ nn Pre skutočné nastavenie t.j. prechod Q: 0 1 platia podmienky (J=1, K=0, Q n =0) a (J=1, K=1, Q n =0), čo vedie k podmienke prechodu: JJ. KK. QQ nn + JJ. KK. QQ nn = JJ. (KK + KK). QQ nn = JJ. QQ nn

7 Stavový diagram a návrh obvodov [6] V stavovej reprezentácii výsledok potom vyzerá nasledovne: K Q=0 Q=1 J K tomu, aby sa obvod vynuloval, musí byť pred príchodom hodinového (synchronizačného) impulzu nastavený (Q n =1) a vstup K musí byť v stave 1 (na stave vstupu J teraz nezávisí). Nastavenie obvodu sa uskutoční vtedy, keď bol obvod vynulovaný (Q n =0) a J=1 (teraz nezávisí na stave vstupu K).

8 Stavový diagram a návrh obvodov [7] Podmienky prechodov KK. QQ nn a JJ. QQ nn vieme realizovať pomocou NAND hradiel: Pohľadom na funkčnú tabuľku tejto dvojice hra- diel vidíme predovšetkým, že v žiadnom riadku nie sú ich výstupy zároveň nulové. Výstup je nulový pre tie prechody, u ktorých dochádza k nastaveniu obvodu Q: 0 1 a výstup KK. QQ je nulový vtedy, keď sa obvod nuluje Q: 1 0. To nás privádza k uzáveru, že JJ. QQ signálmi a možno priamo KK. QQ ovládať základný RS klopný obvod. JJ. QQ J K Q JJ QQ KK QQ JJ. QQ JJ. QQ KK. QQ KK. QQ QQ

9 Stavový diagram a návrh obvodov [8] Zapojenie potom vyzerá nasledovne: Obvodu pridáme synchronizačný vstup CLK a prekreslíme cesty signá- JJ QQ JJ. QQ Q lov spätných väzieb od výstupov. KK QQ QQ KK. QQ Výsledné zapojenie bude: JJ QQ Q CCCCCC KK QQ QQ

10 Stavový diagram a návrh obvodov [9] Zjednodušená funkčná tabuľka JK-klopného obvodu má tvar: J K Q n+1 režim 0 0 Q n pamäťový režim nulovanie nastavenie 1 1 Q n preklopenie Kvôli kontrole urobme ešte test funkčnosti navrhnutého JK-KO.

11 Stavový diagram a návrh obvodov [10] Ako pomôcku vrátime označenie vstupov RS-KO: J K CLK Q n Q n S R Q n+1 x x 0 x x 1 1 Q n pamäťový režim JJ QQ SS Q x x 1 1 Q n pamäťový režim CCCCCC Q n ostáva skutočné nulovanie KK RR QQ skutočné nastavenie QQ Q n ostáva skutočné nastavenie skutočné nulovanie Napriek tomu, že tabuľka signalizuje korektnú funkčnosť navrhnutého obvodu, bližšia analýza časových priebehov odhalí chybu aj v tomto zapojení.

12 Stavový diagram a návrh obvodov [11] Ak si v režime preklápania (J=K=1) nakreslíme časový diagram signálov: CCCCCC JJ KK QQ t vidíme, že ak hodinový vstup CLK je v aktívnom stave (CLK=1) dlhšie než sa zmenia stavy výstupov Q a QQ ( t), nové (invertované) hodnoty výstupných signálov privodia opätovné preklopenie obvodu. Snaha zabrániť tomuto javu vznikol JK-klopný obvod typu "master - slave ". Tvoria ho v princípe dva klopné obvody, ktoré majú hodinové vstupy oddelené invertorom. Naviac - spätná väzba je vedená z výstupov Q a QQ druhého obvodu (slave) na vstupy prvého (master).

13 Stavový diagram a návrh obvodov [12] Principiálna schéma JK klopného obvodu master slave: JJ QQ Qm Q CCCCCC CCCCCC KK QQ mm QQ QQ Výstupný klopný obvod je jednoduchý RST-KO a v dobe, keď je hodinový signál v neaktívnom stave (CLK=0) je práve tento obvod otvorený a na výstupy Q a QQ kopíruje stav výstupov časti master Q m a Q m Samotný master je v pamäťovom režime a nevie zmeniť svoj výstup.

14 Stavový diagram a návrh obvodov [13] Vo chvíli, keď prejde hodinový signál do aktívneho stavu CLK=1, zavrie sa výstupná časť slave a stav výstupov Q a QQ sa od tohto okamžiku nedá zmeniť. Vstupná časť master funguje na počiatku ako JK-klopný obvod ale iba dovtedy, kým sa nezačnú meniť výstupné hodnoty. Zmeny výstupov master-a sa teraz neprenášajú na vstup a nemôžu ho ovplyvniť. Konkrétne je to dôležité v režime preklápania, kedy pri trvajúcom aktívnom hodinovom signále CLK=1 nedochádza k opakovanému preklápaniu výstupu. QQ Skutočný výstup obvodu preberie JJ Qm výstupný stav časti master až pri CCCCCC CCCCCC závernej hrane hodinového sig- QQ mm nálu. KK QQ Q QQ

15 Stavový diagram a návrh obvodov [14] Návrh čítačov na báze JK-klopného obvodu. Počet použitých KO (n) určuje kapacitu čítača (0 až 2 n -1). Pomocou dvoch JK-KO (označme ich ako A a B) vieme zostrojiť čítač v rozsahu 0 až 3. Jeho stavový diagram zrejme vyzerá takto: Čítač nepoužíva žiaden vstupný signál (samozrejme okrem synchronizačného -hodinového vstupu CLK). Znamená to, -že jedinými premennými v obvode sú Q B Q A =00 Q B Q A =01 -stavové veličiny Q A a Q B (hodnoty priamych výstupov použitých klopných obvodov). Q B Q A =11 Q B Q A =10 Úlohou návrhu čítača je nájsť zapojenie vstupov J a K oboch použitých klopných obvodov (J A, K A, J B, K B ) také, aby sa každým hodinovým impulzom obvod posunul podľa stavového diagramu o jednu pozíciu ďalej v šípkami naznačenom smere.

16 Stavový diagram a návrh obvodov [15] Kvôli zjednodušeniu nákresov budeme používať neštandardnú značku JK-klopného obvodu, ktorá bude obsahovať iba bezprostredne využívané vstupy a výstupy: J JK Základná funkčná tabuľka JK-KO je CLK Q veľmi prostá: K J K Q n+1 režim 0 0 Q n pamäťový režim nulovanie nastavenie 1 1 Q n preklopenie V každom riadku tejto tabuľky sú však ukryté dva riadky tabuľky, ktorá rešpektuje skutočne vykonané alebo nevykonané prechody stavu klopného obvodu. Takže našu tabuľku rozšírime na tvar:

17 Stavový diagram a návrh obvodov [16] Rozšírená tabuľka prechodov JK- klopného obvodu: J K Q n Q n+1 prechod režim pamäťový nulovanie nastavenie preklápanie Tabuľka nám priamo slúži k nakresleniu stavového grafu JK klopného obvodu aj s vyznačenými podmienkami na vstupoch J a K, ktoré vedú k tomu-ktorému prechodu:

18 Stavový diagram a návrh obvodov [17] Podmienky pre jednotlivé prechody: 0 0 (prvý a tretí riadok) JJ. KK + JJ. KK = JJ 0 1 (piaty a siedmy riadok) JJ. KK + JJ. KK = JJ 1 1 (druhý a šiesty riadok) JJ. KK + JJ. KK = KK J K Q n Q n+1 prechod režim pamäťový nulovanie nastavenie preklápanie 1 0 (štvrtý a ôsmy riadok) z rozpisu prechodov vidíme, že ak je obvod JJ. KK + JJ. KK = KK v stave Q=O o ďalšom kroku, ktorý sa vykoná rozhoduje iba vstup J, pre obvod v stave Q=1 je takto rozhodujúci vstup K. V oboch prípadoch druhý (neaktívny) vstup môže nadobúdať ľubovoľnú hodnotu čo v tabuľke označíme symbolom "x".

19 Stavový diagram a návrh obvodov [18] Úplný stavový diagram a podmienky prechodov: J K Q=0 Q=1 J Teraz sme pripravení vrátiť sa k zadaniu úlohy návrhu dvojbitového binárneho čítača zostaveného z dvoch JK-KO (A, B) ktorého stavový graf je: Q B Q A =00 K Q B Q A =11 prechod J K x x 1 1 x x 1 Q B Q A =01 Q B Q A =10

20 Stavový diagram a návrh obvodov [19] Stavový graf vieme rovnocenne vyjadriť aj v tabuľkovej podobe: krok n krok n+1 vstupy BB AA QQ prechod B prechod A J B K B J A K A nn+1 QQ nn x 1 x QQ nn BB QQ nn AA x x x 0 1 x x 1 x 1 prechod J K x x 1 1 x x 1 Tabuľka definuje štyri logické funkcie (pre vstupy J B, K B, J A, K A ) pre ktoré úlohu vstupných premenných hrajú stavy obvodov QQ nn a QQ nn pred komutá- BB AA ciou: J B BB AA = f( QQ nn, QQ nn ) a podobne pre ostatné vstupy.

21 Stavový diagram a návrh obvodov [20] Riešiť ich budeme pomocou Karnaughových máp (pre dve premenné AA QQ a BB nn QQ nn ): pre vstup J B pre vstup K B pre vstup J A pre vstup K A Q B \ Q A x x Q B \ Q A x x 1 1 Q B \ Q A x 1 1 x Q B \ Q A x 1 1 x 1 Stavy označené ako "x" sú tie, od ktorých určitý prechod JK-KO nezávisí a môžeme ich podľa potreby nahradiť nulou alebo jednotkou. V Karnaughovej mape spájame jedničkové bunky do čo najväčších blokov. Pre vstupy klopného obvodu "B" sa dajú spojiť bunky v stĺpci pre Q A =1, čo znamená, že na hodnote druhej premennej Q B nezáleží a vstup J B = Q A a aj K B = Q A a treba ich pripojiť priamo na výstup Q A. Pre obvod "A" je situácia ešte jednoduchšia, pretože všetky bunky môžeme považovať za jednotkové a vstupy J A = K A = 1. Znamená to, že ich treba pripojiť na logickú hodnotu "1".

22 Stavový diagram a návrh obvodov [21] Takže zapojenie dvojbitového binárneho čítača bude: log 1 Q A Q B CLK J A CLK K J B CLK K Výsledok návrhu nie je prekvapivý. Najnižší bit čítača (obvod A) sa musí preklápať každým hodinovým impulzom (čo je pre JK-KO práve režim J=K=1). Bit v ráde 2 1 (obvod B) sa preklápa každým druhým hodinovým impulzom vtedy, keď Q A =1. Keď je Q A =0, je obvod B v pamäťovom režime (J B =K B =0).

23 Návrh 4-bitového čítača. Stavový diagram a návrh obvodov [22] Stavový diagram (poradie obvodov: Q D Q C Q B Q A ): Odtiaľ vytvoríme tabuľku prechodov:

24 Stavový diagram a návrh obvodov [23] Tabuľka prechodov: DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB AA QQ nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 0 0 x 1 x x x 0 0 x x 0 1 x x 0 1 x x 1 x x 0 x 0 0 x 1 x x 0 x 0 1 x x x 0 x 0 x 0 1 x x 1 x 1 x 1 x 1

25 Z tabuľky priamo formu- jeme Karnaughove mapy pre všetky vstupy J a K: Stavový diagram a návrh obvodov [24] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 Vstup J D : Vstup K D : BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 0 0 x 1 x x x 0 0 x x 0 1 x x 0 1 x x 1 x x 0 x 0 0 x 1 x x 0 x 0 1 x x x 0 x 0 x 0 1 x x 1 x 1 x 1 x 1 Q D Q C /Q B Q A x x x x 1 0 x x x x J D = Q C.Q B.Q A Q D Q C /Q B Q A x x x x 0 1 x x x x K D = Q C.Q B.Q A

26 A ďalej pre obvod C: Stavový diagram a návrh obvodov [25] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 Vstup J C : Vstup K C : BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 0 0 x 1 x x x 0 0 x x 0 1 x x 0 1 x x 1 x x 0 x 0 0 x 1 x x 0 x 0 1 x x x 0 x 0 x 0 1 x x 1 x 1 x 1 x 1 Q D Q C /Q B Q A x x x x 1 1 x x x x J C = Q B.Q A Q D Q C /Q B Q A x x x x x x x x K C = Q B.Q A

27 pre obvod B: Stavový diagram a návrh obvodov [26] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 0 0 x 1 x x x 0 0 x x 0 1 x x 0 1 x x 1 x x 0 x 0 0 x 1 x x 0 x 0 1 x x x 0 x 0 x 0 1 x x 1 x 1 x 1 x 1 Vstup J B : Vstup K B : Q D Q C /Q B Q A x x x x x x x x J B = Q A Q D Q C /Q B Q A x x x x x x x x 1 K B = Q A

28 pre obvod A: Stavový diagram a návrh obvodov [27] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 0 0 x 1 x x x 0 0 x x 0 1 x x 0 1 x x 1 x x 0 x 0 0 x 1 x x 0 x 0 1 x x x 0 x 0 x 0 1 x x 1 x 1 x 1 x 1 Vstup J A : Vstup K A : Q D Q C /Q B Q A x 1 x x 1 x x 1 x x 1 x Q D Q C /Q B Q A x 1 x x 1 x x 1 x x 1 x 1 J A = 1 K A = 1

29 Stavový diagram a návrh obvodov [28] Získané výsledky dovoľujú nakresliť schému 4-bitového binárneho čítača na báze JK-KO: J A = 1 K A = 1 J B = Q A J C = Q B.Q A J D = Q C.Q B.Q A K B = Q A K C = Q B.Q A K D = Q C.Q B.Q A log 1 Q A Q B Q C Q D J A J B J C J D CLK CLK K CLK K CLK K CLK K Q A.Q B.Q C Q A.Q B

30 Stavový diagram a návrh obvodov [29] A nakoniec ešte návrh desiatkového čítača: DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 1 0 x 0 x x 1 Aj keď zmeny v tabuľke prechodov nie sú veľké, pri aplikácii Karnaughových máp rozdiely zistíme. Predovšetkým tabuľky nebudú úplné, pretože niektoré stavy (kombinácie výstupov) neexistujú.

31 Znova formulujeme Karnaughove mapy pre všetky vstupy J a K: Stavový diagram a návrh obvodov [30] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB QQ AA nn+11 QQ nn+11 Vstup J D : Vstup K D : prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 1 0 x 0 x x 1 Q D Q C /Q B Q A # # # # 1 0 x x # # Q D Q C /Q B Q A x x x x 0 1 x x x x 1 1 # # # # # # J D = Q C.Q B.Q A K D = Q A Symbolom "#" je označený neexistujúci stav, ktorý samozrejme možno pri optimalizácii využiť ako stav s hodnotou "1".

32 Pre obvod C: Stavový diagram a návrh obvodov [31] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 1 0 x 0 x x 1 Vstup J C : Vstup K C : Q D Q C /Q B Q A x x x x 1 1 # # # # 1 0 # # J C = Q B.Q A Q D Q C /Q B Q A x x x x # # # # 1 0 x x # # K C = Q B.Q A

33 pre obvod B: Stavový diagram a návrh obvodov [32] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 1 0 x 0 x x 1 Vstup J B : Vstup K B : Q D Q C /Q B Q A x x x x 1 1 # # # # 1 0 # # J B = Q D.Q A Q D Q C /Q B Q A x x x x # # # # 1 0 x x # # K B = Q A

34 pre obvod A: Stavový diagram a návrh obvodov [33] DD QQ CC BB nn QQ nn QQ AA DD CC nn QQ nn QQ nn+11 QQ nn+11 BB QQ AA nn+11 QQ nn+11 prechody D C B A J D K D J C K C J B K B J A K A x 0 x 0 x 1 x x 0 x 1 x x x 0 x x 0 1 x x 1 x x 1 x x x 0 0 x 1 x x x 0 1 x x x x 0 x 0 1 x x x 1 x 1 x x 0 0 x 0 x 1 x x 1 0 x 0 x x 1 Vstup J A : Vstup K A : Q D Q C /Q B Q A x 1 x x 1 x 1 1 # # # # x # # Q D Q C /Q B Q A x 1 x x 1 x # # # # 1 0 x 1 # # J A = 1 K A = 1

35 Stavový diagram a návrh obvodov [34] 4-bitového binárneho čítača s modulom 10: J A = 1 K A = 1 J B = Q D.Q A J C = Q B.Q A J D = Q C.Q B.Q A K B = Q A K C = Q B.Q A K D = Q A Q A.Q D log 1 J A Q A J B Q B J C Q C J D Q D CLK CLK K CLK K CLK K CLK K Q A.Q B.Q C Q A.Q B

1. sekvenčné klopné obvody

1. sekvenčné klopné obvody 1. sekvenčné klopné obvody Sekvenčné obvody (nazývané aj sekvenčné automaty) sú digitálne elektronické obvody, u ktorých závisí stav výstupov okrem aktuálneho stavu vstupov aj od minulého stavu vstupov.

Více

TTL 7474 & RST & & RST-KO & & & CLK

TTL 7474 & RST & & RST-KO & & & CLK klopný obvod 7474 klopný obvod 7474 [] Komerčne vyrábaný klopný obvod (v TTL technike) nesie označenie 7474. Jeho vnútorná štruktúra je opäť na báze RST klopného obvodu, je však odstránená nesymetria cesty

Více

Multiplexor a demultiplexor

Multiplexor a demultiplexor Multiplexor a demultiplexor Mux_DMux [2] Funkcia multiplexoru ako prepínača A D 1 D 0 Y 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 3 x NAND Ak A = 0 výstup Y = D 0 a ak A = 1 výstup

Více

8. Relácia usporiadania

8. Relácia usporiadania 8. Relácia usporiadania V tejto časti sa budeme venovať ďalšiemu špeciálnemu typu binárnych relácií v množine M - reláciám Najskôr si uvedieme nasledujúce štyri definície. Relácia R definovaná v množine

Více

Kontrola väzieb výkazu Súvaha a Výkaz ziskov a strát Príručka používateľa

Kontrola väzieb výkazu Súvaha a Výkaz ziskov a strát Príručka používateľa Kontrola Príručka používateľa úroveň: Klient Štátnej pokladnice Verzia 1.0 Január 2013 Autor: Michal Pikus FocusPM Page 1 of 5 Obsah Obsah... 2 1. Úvod... 3 2. Logika porovnania... 3 3. Vykonanie kontroly...

Více

Funkcia - priradenie (predpis), ktoré každému prvku z množiny D priraďuje práve jeden prvok množiny H.

Funkcia - priradenie (predpis), ktoré každému prvku z množiny D priraďuje práve jeden prvok množiny H. FUNKCIA, DEFINIČNÝ OBOR, OBOR HODNÔT Funkcia - priradenie (predpis), ktoré každému prvku z množiny D priraďuje práve jeden prvok množiny H. Množina D definičný obor Množina H obor hodnôt Funkciu môžeme

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Užívateľská príručka systému CEHZ. Základné zostavy Farmy podľa druhu činnosti

Užívateľská príručka systému CEHZ. Základné zostavy Farmy podľa druhu činnosti Užívateľská príručka systému CEHZ Základné zostavy Farmy podľa druhu činnosti Užívateľská príručka systému CEHZ... 1 Základné zostavy Farmy podľa druhu činnosti... 1 1.1. Farmy podľa druhu činnosti...

Více

Imagine. Popis prostredia:

Imagine. Popis prostredia: Priemerný človek si zapamätá približne: - 10 % z toho, čo číta, - 20 % z toho, čo počuje, - 30 % z toho, čo vidí v podobe obrazu, - 50 % z toho, čo vidí a súčasne počuje, - 70 % z toho čo súčasne vidí,

Více

Kombinatorická pravdepodobnosť (opakovanie)

Kombinatorická pravdepodobnosť (opakovanie) Kombinatorická pravdepodobnosť (opakovanie) Metódy riešenia úloh z pravdepodobnosti a štatistiky Beáta Stehlíková, FMFI UK Bratislava www.iam.fmph.uniba.sk/institute/stehlikova Príklad 1: Zhody kariet

Více

Hromadná korešpondencia v programe Word Lektor: Ing. Jaroslav Mišovych

Hromadná korešpondencia v programe Word Lektor: Ing. Jaroslav Mišovych Hromadná korešpondencia v programe Word 2010 Lektor: Ing. Jaroslav Mišovych Obsah Čo je hromadná korešpondencia Spustenie hromadnej korešpondencie Nastavenie menoviek Pripojenie menoviek k zoznamu adries

Více

Automatický timer pre DX7 návod na inštaláciu a manuál

Automatický timer pre DX7 návod na inštaláciu a manuál Automatický timer pre DX7 návod na inštaláciu a manuál Upozornenie: Aj keď je modul pre DX7 obvodovo takmer totožný s modulom pre DX6i, majú niektoré súčiastky odlišnú hodnotu a v procesore je úplne iný

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Ing. Jozef Klus KOMBINAČNÉ LOGICKÉ OBVODY

Ing. Jozef Klus KOMBINAČNÉ LOGICKÉ OBVODY Ing. Jozef Klus KOMBINAČNÉ LOGICKÉ OBVODY ÚVOD U týchto obvodov je výstup určený len kombináciou vstupných veličín. Hodnoty výstupných veličín nezávisia na predchádzajúcom stave logického obvodu kombinačný

Více

Limita funkcie. Čo rozumieme pod blížiť sa? y x. 2 lim 3

Limita funkcie. Čo rozumieme pod blížiť sa? y x. 2 lim 3 Limita funkcie y 2 2 1 1 2 1 y 2 2 1 lim 3 1 1 Čo rozumieme pod blížiť sa? Porovnanie funkcií y 2 2 1 1 y 2 1 2 2 1 lim 3 1 1 1-1+ Limita funkcie lim f b a Ak ku každému číslu, eistuje také okolie bodu

Více

Moderné vzdelávanie pre vedomostnú spoločnosť/projekt je spolufinancovaný zo zdrojov EÚ. Grafy

Moderné vzdelávanie pre vedomostnú spoločnosť/projekt je spolufinancovaný zo zdrojov EÚ. Grafy Moderné vzdelávanie pre vedomostnú spoločnosť/projekt je spolufinancovaný zo zdrojov EÚ Grafy Graf efektívne vizuálne nástroje dáta lepšie pochopiteľné graf môže odhaliť trend alebo porovnanie zobrazujú

Více

Kvadratické funkcie, rovnice, 1

Kvadratické funkcie, rovnice, 1 Kvadratické funkcie, rovnice, 1. ročník Kvadratická funkcia Kvadratickou funkciu sa nazýva každá funkcia na množine reálnych čísel R daná rovnicou y = ax + bx + c, kde a je reálne číslo rôzne od nuly,

Více

3 Determinanty. 3.1 Determinaty druhého stupňa a sústavy lineárnych rovníc

3 Determinanty. 3.1 Determinaty druhého stupňa a sústavy lineárnych rovníc 3 eterminanty 3. eterminaty druhého stupňa a sústavy lineárnych rovníc Začneme úlohou, v ktorej je potrebné riešiť sústavu dvoch rovníc o dvoch neznámych. a x + a 2 x 2 = c a 22 a 2 x + a 22 x 2 = c 2

Více

Tvorba logického a fyzického dátového modelu relačnej databázy pomocou nástrojov od firmy Oracle výukový tutoriál

Tvorba logického a fyzického dátového modelu relačnej databázy pomocou nástrojov od firmy Oracle výukový tutoriál Tvorba logického a fyzického dátového modelu relačnej databázy pomocou nástrojov od firmy Oracle výukový tutoriál Prvým krokom pri vytvorení relačnej databázy je návrh jej štruktúry a realizáciaa logického

Více

To bolo ľahké. Dokážete nakresliť kúsok od prvého stromčeka rovnaký? Asi áno, veď môžete použiť tie isté príkazy.

To bolo ľahké. Dokážete nakresliť kúsok od prvého stromčeka rovnaký? Asi áno, veď môžete použiť tie isté príkazy. Opakuj a pomenuj Nakreslime si ovocný sad Príklad 1 Pomocou príkazového riadku skúste s korytnačkou nakresliť ovocný stromček. Vaša postupnosť príkazov sa možno podobá na nasledujúcu:? nechfp "hnedá? nechhp

Více

MATLAB (1) - úvod do programovania vedeckých problémov. LS 2017, 8.predn.

MATLAB (1) - úvod do programovania vedeckých problémov. LS 2017, 8.predn. MATLAB (1) - úvod do programovania vedeckých problémov LS 2017, 8.predn. Program predmetu: 1. týždeň: úvod, základné info o Matlabe, pracovné prostredie Matlabu, interaktívny režim, prvé info o písaní

Více

Skákalka. Otvoríme si program Zoner Callisto, cesta je Programy Aplikácie Grafika Zoner Callisto.

Skákalka. Otvoríme si program Zoner Callisto, cesta je Programy Aplikácie Grafika Zoner Callisto. Skákalka Otvoríme si program Zoner Callisto, cesta je Programy Aplikácie Grafika Zoner Callisto. Vyberieme si z ponuky tvarov kruh a nakreslíme ho (veľkosť podľa vlastného uváženia). Otvoríme si ponuku

Více

Začínam so zadaním z NEPOUŽÍVAME ROZSAH POKIAĽ HO MUSÍME PRESKOČIŤ

Začínam so zadaním z NEPOUŽÍVAME ROZSAH POKIAĽ HO MUSÍME PRESKOČIŤ Chcela som urobiť rozumný tútoriál, netuším či to niekomu pomože, pevne verím že aspoň jeden taký sa nájde pretože keď tomu rozumiem ja tak musí aj total magor tomu rozumieť! Začínam so zadaním z 9.11.2010

Více

VYSPORIADANIE PREHRADENÝCH ZÁVÄZKOV A POHĽADÁVOK

VYSPORIADANIE PREHRADENÝCH ZÁVÄZKOV A POHĽADÁVOK VYSPORIADANIE PREHRADENÝCH ZÁVÄZKOV A POHĽADÁVOK Funkcia Vysporiadanie pohľadávok a záväzkov umožňuje riešiť preplatky pohľadávok a záväzkov, prípady, kedy je úhrada vyššia ako hodnota uvedená na doklade.

Více

nastavenie a realizácia vzájomných zápočtov v Money S4 / Money S5

nastavenie a realizácia vzájomných zápočtov v Money S4 / Money S5 VZÁJOMNE ZÁPOČTY nastavenie a realizácia vzájomných zápočtov v Money S4 / Money S5 Aparát vzájomných zápočtov v Money S4/S5 slúži k návrhu vzájomných zápočtov pohľadávok a záväzkov a následne k automatickej

Více

Test. Ktorý valec by ste použili? A. Jednočinný valec B. Dvojčinný valec. Odpoveď:

Test. Ktorý valec by ste použili? A. Jednočinný valec B. Dvojčinný valec. Odpoveď: Test Týmto testom môžete zistiť, či sú Vaše základné znalosti o pneumatickom riadení postačujúce pre nadstavbový seminár P121, alebo je pre Vás lepšie absolvovať základný seminár EP111. Test je rýchly,

Více

Pracovné prostredie MS EXCEL 2003.

Pracovné prostredie MS EXCEL 2003. Pracovné prostredie MS EXCEL 2003. Tabuľkové kalkulátory sú veľmi praktické aplikácie pre realizáciu výpočtov, grafických prezentácií údajov, ako aj pe prácu s rôznymi údajmi ako s bázou dát. Tieto programy

Více

UŽÍVATEĽSKÁ PRÍRUČKA. TCP Optimizátor

UŽÍVATEĽSKÁ PRÍRUČKA. TCP Optimizátor UŽÍVATEĽSKÁ PRÍRUČKA TCP Optimizátor OBSAH OBSAH...2 1. ÚVOD...3 2. OBRAZOVKY...4 2.1. Obrazovka licenčných podmienok...4 2.2. Hlavná obrazovka...5 3. ODPORÚČANÝ POSTUP...8 3.1. Spustenie programu...8

Více

Základy algoritmizácie a programovania

Základy algoritmizácie a programovania Základy algoritmizácie a programovania Pojem algoritmu Algoritmus základný elementárny pojem informatiky, je prepis, návod, realizáciou ktorého získame zo zadaných vstupných údajov požadované výsledky.

Více

VECIT 2006 Tento materiál vznikol v rámci projektu, ktorý je spolufinancovaný Európskou úniou. 1/4

VECIT 2006 Tento materiál vznikol v rámci projektu, ktorý je spolufinancovaný Európskou úniou. 1/4 Príklad 1 Naučte korytnačku príkaz čelenka. Porozmýšľajte nad využitím príkazu plnytrojuhol60: viem plnytrojuhol60 opakuj 3 [do 60 vp 120 Riešenie: definujeme ďalšie príkazy na kreslenie trojuholníka líšiace

Více

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

Kombinatorická pravdepodobnosť (opakovanie)

Kombinatorická pravdepodobnosť (opakovanie) Kombinatorická pravdepodobnosť (opakovanie) Metódy riešenia úloh z pravdepodobnosti a štatistiky Cvičenie 1 Beáta Stehlíková, FMFI UK Bratislava www.iam.fmph.uniba.sk/institute/stehlikova Príklad 1: Zhody

Více

TomTom Referenčná príručka

TomTom Referenčná príručka TomTom Referenčná príručka Obsah Rizikové zóny 3 Rizikové zóny vo Francúzsku... 3 Upozornenia na rizikové zóny... 3 Zmena spôsobu upozornenia... 4 tlačidlo Ohlásiť... 4 Nahlásenie novej rizikovej zóny

Více

Ak stlačíme OK, prebehne výpočet a v bunke B1 je výsledok.

Ak stlačíme OK, prebehne výpočet a v bunke B1 je výsledok. Hľadanie riešenia: ak poznáme očakávaný výsledok jednoduchého vzorca, ale vstupná hodnota, ktorú potrebujeme k určeniu výsledku je neznáma. Aplikácia Excel hľadá varianty hodnoty v určitej bunke, kým vzorec,

Více

Blokové a prúdové šifry

Blokové a prúdové šifry Informačná bezpečnosť 2, jar 2015 Blokové a prúdové šifry Ján Karabáš Blokové šifry a dlhé správy Bloková šifra je vhodná pre zašifrovanie iba jedného, relatívne krátkeho bloku Blok je skupina znakov (otvorenej

Více

Metóda vetiev a hraníc (Branch and Bound Method)

Metóda vetiev a hraníc (Branch and Bound Method) Metóda vetiev a hraníc (Branch and Bound Method) na riešenie úloh celočíselného lineárneho programovania Úloha plánovania výroby s nedeliteľnosťami Podnikateľ vyrába a predáva zemiakové lupienky a hranolčeky

Více

Prevody z pointfree tvaru na pointwise tvar

Prevody z pointfree tvaru na pointwise tvar Prevody z pointfree tvaru na pointwise tvar Tomáš Szaniszlo 2010-03-24 (v.2) 1 Príklad (.(,)). (.). (,) Prevedenie z pointfree do pointwise tvaru výrazu (.(,)). (.). (,). (.(,)). (.). (,) Teraz je funkcia

Více

Technická univerzita v Košiciach

Technická univerzita v Košiciach Technická univerzita v Košiciach Fakulta elektrotechniky a informatiky Katedra elektroniky a multimediálnych telekomunikácií Multiwaveletová transformácia obrazu Študijný program: IE_Ing_D, MTel_Ing_D

Více

PODPROGRAMY. Vyčlenenie podprogramu a jeho pomenovanie robíme v deklarácii programu a aktiváciu vykonáme volaním podprogramu.

PODPROGRAMY. Vyčlenenie podprogramu a jeho pomenovanie robíme v deklarácii programu a aktiváciu vykonáme volaním podprogramu. PODPROGRAMY Podprogram je relatívne samostatný čiastočný algoritmus (čiže časť programu, ktorý má vlastnosti malého programu a hlavný program ho môže volať) Spravidla ide o postup, ktorý bude v programe

Více

PRIEMYSELNÁ INFORMATIKA LOGICKÉ RIADENIE preklápacie obvody v k

PRIEMYSELNÁ INFORMATIKA LOGICKÉ RIADENIE preklápacie obvody v k 5 Sekvenčné logické systémy Sekvenčný logický systém (SLS) resp sekvenčný logický obvod (SLO) je charakteristický tým, že výstupné premenné (výstupné vektory) závisia nielen od vstupných premenných (vstupných

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

CVIČENIE 1 : ZÁKLADNÉ VÝPOČTY PRAVDEPODOBNOSTI

CVIČENIE 1 : ZÁKLADNÉ VÝPOČTY PRAVDEPODOBNOSTI CVIČENIE : ZÁKLDNÉ VÝOČTY RVDEODOBNOSTI. KLSICKÁ DEFINÍCI RVDEODOBNOSTI ríklad : ká je pravdepodobnosť, že pri hode kockou padne číslo resp. padne nepárne číslo? jav, kedy padne číslo B jav, že padne nepárne

Více

Je to voľne dostupný programový balík (free software), ktorý sa používa na meraniach.

Je to voľne dostupný programový balík (free software), ktorý sa používa na meraniach. Počítačový program SciDavis Je to voľne dostupný programový balík (free software), ktorý sa používa na meraniach. Zostrojenie grafu z nameraných hodnôt 1. Po otvorení programu SciDavis, do tabuľky zapíšeme

Více

Základy optických systémov

Základy optických systémov Základy optických systémov Norbert Tarjányi, Katedra fyziky, EF ŽU tarjanyi@fyzika.uniza.sk 1 Vlastnosti svetla - koherencia Koherencia časová, priestorová Časová koherencia: charakterizuje koreláciu optického

Více

Manuál pre používanie programu KonverziaXML_D134

Manuál pre používanie programu KonverziaXML_D134 Centrálny depozitár cenných papierov SR, a.s. ul. 29. augusta 1/A, 814 80 Bratislava 1 Manuál pre používanie programu KonverziaXML_D134 Vypracoval: Ing. Ivan Ďuriš, UI Verzia: 1.1.5 Február 2005 A. Základné

Více

Mgr. Stanislav Fila, psychológ CPPPaP Banská Bystrica Centrum pedagogicko-psychologického poradenstva a prevencie (bývalá KPPP) Banská Bystrica

Mgr. Stanislav Fila, psychológ CPPPaP Banská Bystrica Centrum pedagogicko-psychologického poradenstva a prevencie (bývalá KPPP) Banská Bystrica Návod 1. Prevod tlačeného textu na písané písmo fontu Abeceda.ttf. Jednoduchý. 25. 9. 2016 Prevod jednoduchého textu. Príklad. Mgr. Stanislav Fila, psychológ CPPPaP Banská Bystrica Centrum pedagogicko-psychologického

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

7.1 Návrhové zobrazenie dotazu

7.1 Návrhové zobrazenie dotazu 7.1 Návrhové zobrazenie dotazu Ovládanie návrhového zobrazenia, ktoré je jedným z možností zobrazenia dotazu, je nevyhnutné pri tvorbe zložitejších dotazov, pretože v ňom môžeme definovať akýkoľvek dotaz

Více

NEVLASTNÁ VODIVOSŤ POLOVODIČOVÉHO MATERIÁLU TYPU P

NEVLASTNÁ VODIVOSŤ POLOVODIČOVÉHO MATERIÁLU TYPU P NEVLASTNÁ VODIVOSŤ POLOVODIČOVÉHO MATERIÁLU TYPU P 1. VLASTNÉ POLOVODIČE Vlastnými polovodičmi nazývame polovodiče chemicky čisté, bez prímesí iných prvkov. V súčasnosti je najpoužívanejším polovodičovým

Více

Pred samotnou prácou s objednávkami odporúčame vykonať súvisiace nastavenia cez menu Firma - Nastavenie

Pred samotnou prácou s objednávkami odporúčame vykonať súvisiace nastavenia cez menu Firma - Nastavenie Objednávkový systém v programe Omega Objednávkový systém je v programe Omega priamo prepojený so skladovým hospodárstvom. Pomocou neho možno sledovať stav vybavenosti objednávky, a tiež zabezpečiť rezerváciu

Více

Návod na aplikáciu Mobile Pay pre Orange

Návod na aplikáciu Mobile Pay pre Orange Návod na aplikáciu Mobile Pay pre Orange Aktivácia bezkontaktných mobilných platieb Keď máte stiahnutú aplikáciu, môžete si aktivovať bezkontaktné mobilné platby. V menu uvítacej obrazovky zvoľte tlačidlo

Více

Strojový kód, assembler, emulátor počítača

Strojový kód, assembler, emulátor počítača Strojový kód, assembler, emulátor počítača Návrh architektúry hypotetického procesora Strojový kód Assemblerový jazyk Programovanie v assemblerovom jazyku: Lineárny program Vetvenie Cyklus Emulátor počítača

Více

Iracionálne rovnice = 14 = ±

Iracionálne rovnice = 14 = ± Iracionálne rovnice D. Rovnica je iracionálna, ak obsahuje neznámu pod odmocninou. P. Ak ide o odmocninu s párnym odmocniteľom, potom musíme stanoviť definičný obor pod odmocninou nesmie byť záporná hodnota

Více

DOBROPISY. Dobropisy je potrebné rozlišovať podľa základného rozlíšenia: 1. dodavateľské 2. odberateľské

DOBROPISY. Dobropisy je potrebné rozlišovať podľa základného rozlíšenia: 1. dodavateľské 2. odberateľské DOBROPISY Dobropisy je potrebné rozlišovať podľa základného rozlíšenia: 1. dodavateľské 2. odberateľské 1. DODAVATEĽSKÉ to znamená, že dostanem dobropis od dodávateľa na reklamovaný, alebo nedodaný tovar.

Více

Úroveň strojového kódu procesor Intel Pentium. Adresovanie pamäte

Úroveň strojového kódu procesor Intel Pentium. Adresovanie pamäte Úroveň strojového kódu procesor Intel Pentium Pamäťový operand Adresovanie pamäte Priama nepriama a indexovaná adresa Práca s jednorozmerným poľom Praktické programovanie assemblerových funkcií Autor:

Více

Vytvorenie používateľov a nastavenie prístupov

Vytvorenie používateľov a nastavenie prístupov Vytvorenie používateľov a nastavenie prístupov 1. Vytvorenie používateľov Spustite modul Správa systému, prihláste sa ako používateľ sa, z ponuky vyberte Evidencie Používatelia - Zoznam. Pomocou tlačidla

Více

v y d á v a m m e t o d i c k é u s m e r n e n i e:

v y d á v a m m e t o d i c k é u s m e r n e n i e: č. 6226/2013 V Bratislave dňa 7. augusta 2013 Metodické usmernenie k zmenám v povinnosti platiť školné v zmysle zákona č. 131/2002 Z.z. o vysokých školách a o zmene a doplnení niektorých zákonov v znení

Více

MS PowerPoint - Úvod.

MS PowerPoint - Úvod. 8. MS PowerPoint -prezentačný program - základná úloha - prehľadne prezentovať informácie vo forme: premietania na obrazovku PC premietania na plátno alebo stenu prostr. dataprojektoru premietania vytlačených

Více

Mgr. Stanislav Fila, psychológ CPPPaP Banská Bystrica Centrum pedagogicko-psychologického poradenstva a prevencie (bývalá KPPP) Banská Bystrica

Mgr. Stanislav Fila, psychológ CPPPaP Banská Bystrica Centrum pedagogicko-psychologického poradenstva a prevencie (bývalá KPPP) Banská Bystrica Návod 2. Prevod tlačeného textu na písané písmo fontu Abeceda.ttf. 24 9. 2016 Prevod textu s obrázkami. Príklad. Mgr. Stanislav Fila, psychológ CPPPaP Banská Bystrica Centrum pedagogicko-psychologického

Více

Špecifikácia testu. z matematiky. pre celoslovenské testovanie žiakov 5. ročníka ZŠ v školskom roku 2016/2017

Špecifikácia testu. z matematiky. pre celoslovenské testovanie žiakov 5. ročníka ZŠ v školskom roku 2016/2017 Špecifikácia testu z matematiky pre celoslovenské testovanie žiakov 5. ročníka ZŠ v školskom roku 2016/2017 Bratislava 2016 Test z matematiky pre celoslovenské testovanie je určený žiakom 5. ročníka základných

Více

Lineárne nerovnice, lineárna optimalizácia

Lineárne nerovnice, lineárna optimalizácia Opatrenie:. Premena tradičnej škol na modernú Gmnázium Jozefa Gregora Tajovského Lineárne nerovnice, lineárna optimalizácia V tomto tete sa budeme zaoberat najskôr grafickým znázornením riešenia sústav

Více

SKLADOVÁ INVENTÚRA 1 VYTVORENIE INVENTÚRY. 1.1 Nastavenie skladovej inventúry

SKLADOVÁ INVENTÚRA 1 VYTVORENIE INVENTÚRY. 1.1 Nastavenie skladovej inventúry SKLADOVÁ INVENTÚRA Skladové inventúry umožňujú vyrovnanie evidovaného stavu zásob so skutočným fyzicky zisteným stavom. Pri inventúre vznikajú inventúrne rozdiely medzi fyzickým a evidenčným stavom: kladné

Více

Operačná analýza 2-12

Operačná analýza 2-12 Operačná analýza 2-12 Teória zásob Úvod Zásoby - skladovaný substrát- predmety, ktoré sú v procese výroby uschované na neskoršiu spotrebu. História 1888 - hľadanie optimálnej výšky peňažných zásob v peňažnom

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

Total Commander. Základné nastavenia

Total Commander. Základné nastavenia je program, ktorý patrí k nadstavbovým programom OS. Jeho použitie je podobné ako u prieskumníka. Používa sa na jednoduchú prácu s adresármi (zložka, priečinok, folder) a súbormi. prezerať priečinky vyrobiť

Více

Referenčná ponuka na prístup ku káblovodom a infraštruktúre. Príloha 7 Poplatky a ceny

Referenčná ponuka na prístup ku káblovodom a infraštruktúre. Príloha 7 Poplatky a ceny Príloha 7 Poplatky a ceny Príloha 7: Poplatky a ceny strana 1 z 5 Obsah 1. CENY V RÁMCI DOHODY NDA A RÁMCOVEJ ZMLUVY... 3 2. CENY V RÁMCI ZMLUVY O DUCT SHARING... 3 2.1 CENA ZA POSKYTOVANIE ZÁKLADNEJ SLUŽBY

Více

Školská sieť EDU. Rozdelenie škôl. Obsah: Deleba škôl podľa času zaradenia do projektu: Delba škôl podľa rýchlosti pripojenia:

Školská sieť EDU. Rozdelenie škôl. Obsah: Deleba škôl podľa času zaradenia do projektu: Delba škôl podľa rýchlosti pripojenia: Obsah: Rozdelenie škôl Zariadenia dodané v rámci projektu Typy zapojenia zariadení Služby poskytovane na ASA Školská sieť EDU Rozdelenie škôl Deleba škôl podľa času zaradenia do projektu: 1. 2. školy ktoré

Více

KARTA RS 485/232 do PC

KARTA RS 485/232 do PC do PC a KARTA RS 485 do PC Technická príručka Liptovský Hrádok 12.12.2005 OBSAH 1. VŠEOBECNÉ INFORMÁCIE...1-1 2. INŠTALÁCIA A NASTAVENIE...2-1 3. ZÁVER...3-1 1. VŠEOBECNÉ INFORMÁCIE Karta RS 485/232 je

Více

Textový editor WORD. Práca s obrázkami a automatickými tvarmi vo Worde

Textový editor WORD. Práca s obrázkami a automatickými tvarmi vo Worde Textový editor WORD Práca s obrázkami a automatickými tvarmi vo Worde WordArt WordArt je objekt, pomocou ktorého vieme vytvoriť text s rôznymi efektami. Začneme na karte Vložiť, kde použijeme ikonu WordArt.

Více

ROVNOMERNÉ DAŇOVÉ ODPISY od ROK

ROVNOMERNÉ DAŇOVÉ ODPISY od ROK ROVNOMERNÉ DAŇOVÉ ODPISY od 1.1.2012 1. ROK Spoločnosť zakúpila automobil v cene 48000 EUR, zaradí ho do 1. odpisovej skupiny, rozhodne sa ho odpisovať rovnomerne. Vypočítaný ročný daňový odpis je 12000

Více

Návod na používanie súboru na vyhodnotenie testov všeobecnej pohybovej výkonnosti

Návod na používanie súboru na vyhodnotenie testov všeobecnej pohybovej výkonnosti Návod na používanie súboru na vyhodnotenie testov všeobecnej pohybovej výkonnosti Na overenie trénovanosti hráčov sa o.i. vykonávajú testy všeobecnej pohybovej výkonnosti. Z hľadiska vyhodnotenia je potrebné

Více

Popis kontrol vykonávaných pri OVEROVANÍ zúčtovacích dávok na Elektronickej pobočke

Popis kontrol vykonávaných pri OVEROVANÍ zúčtovacích dávok na Elektronickej pobočke Popis kontrol vykonávaných pri OVEROVANÍ zúčtovacích dávok na Elektronickej pobočke Všeobecne, platí pre každú kontrolu: Ak nie je status po overení údajov dávky Bez chýb zobrazí sa k danej chybe príslušný

Více

M úlohy (vyriešené) pre rok 2017

M úlohy (vyriešené) pre rok 2017 M úlohy (vyriešené) pre rok 2017 Nájdite najmenšie prirodzené číslo, ktorého ciferný súčet je 2017 Ak má byť prirodzené číslo s daným ciferným súčtom čo najmenšie, musí mať čo najviac číslic 9 Pretože

Více

Riešenie nelineárnych rovníc I

Riešenie nelineárnych rovníc I Riešenie nelineárnych rovníc I Ako je už zo samotného názvu hodiny parné budeme sa venovať spôsobom výpočtu nelineárnych rovníc. Prečo je riešenie takýchto rovníc nevyhnutné? Nielen v samotnom chemickom

Více

Elektronické odosielanie výplatných pások

Elektronické odosielanie výplatných pások Elektronické odosielanie výplatných pások Od verzie 11.50 pribudla v programe Olymp možnosť hromadného odosielania zaheslovaných výplatných pások na e-maily jednotlivých pracovníkov. V evidencii Personalistika

Více

Program "Inventúra program.xlsm"

Program Inventúra program.xlsm 1 / 14 Program "Inventúra program.xlsm" Program pracuje s reportami, ktoré majú ako zdroj dát tabuľku inventárnych dát. Program je uložený ako VBA projekt v Excel súbore "Inventúra Program.xlsm". Program

Více

PLA-401 v3 Ethernetový adaptér PowerLine (prenos dát cez silové elektrické káble)

PLA-401 v3 Ethernetový adaptér PowerLine (prenos dát cez silové elektrické káble) Ethernetový adaptér PowerLine (prenos dát cez silové elektrické káble) Príručka pre rýchlu inštaláciu Firmware v3.3.4 Vydanie 1. Marec 2009 Obsah Úvodné informácie... 1 Pripojenie ku káblovému/dsl modemu

Více

Manuál na prácu s databázou zmlúv, faktúr a objednávok Mesta Martin.

Manuál na prácu s databázou zmlúv, faktúr a objednávok Mesta Martin. Manuál na prácu s databázou zmlúv, faktúr a objednávok Mesta Martin. Cieľom databázy zmlúv, faktúr a objednávok Mesta Martin je zverejnenie uvedených záznamov v zmysle ustanovení zákona č. 211/2000 Z.z.

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Pozičné číselné sústavy. Dejiny. Číselná sústava je spôsob, akým sú zapisované čísla pomocou znakov (nazývaných cifry).

Pozičné číselné sústavy. Dejiny. Číselná sústava je spôsob, akým sú zapisované čísla pomocou znakov (nazývaných cifry). Duda, Džima, Mačák Pozičné číselné sústavy Číselná sústava je spôsob, akým sú zapisované čísla pomocou znakov (nazývaných cifry). Podľa spôsobu určenia hodnoty čísla z daného zápisu rozlišujeme dva hlavné

Více

Základné prvky loga OPCHS

Základné prvky loga OPCHS Logo manuál Základné prvky loga OPCHS 1 Základné prvky 1. 1. Logotyp OPCHS 1. 1. 1. Základné prevedenie loga Základný tvar značky je tvorený trojfarebným obdĺžnikom so zaoblenými rohmi, do ktorého je

Více

Číslicová elektronika pre 2.ročník. Teória

Číslicová elektronika pre 2.ročník. Teória STREDNÁ PRIEMYSELNÁ ŠKOLA ELEKTROTECHNICKÁ Plzenská 1, 080 47 Prešov tel.: 051/7725 567 fax: 051/7732 344 spse@spse-po.sk www.spse-po.sk Číslicová elektronika pre 2.ročník Teória Ing. Ladislav Vavrek 2007

Více

MANUÁL K PROGRAMU MATEMATIKA 2.0 STIAHNUTIE A INŠTALÁCIA PROGRAMU:

MANUÁL K PROGRAMU MATEMATIKA 2.0 STIAHNUTIE A INŠTALÁCIA PROGRAMU: MANUÁL K PROGRAMU MATEMATIKA 2.0 Program na precvičovanie učiva z matematiky na nájdeme na stránke http://www.slunecnice.cz/sw/4321-matematika/. STIAHNUTIE A INŠTALÁCIA PROGRAMU: Po kliknutí na Stáhnout

Více

Ekvia s.r.o EKVIA PREMIUMPRO. Užívateľský manuál

Ekvia s.r.o EKVIA PREMIUMPRO. Užívateľský manuál Ekvia s.r.o EKVIA PREMIUMPRO Užívateľský manuál 1. Prihlásenie sa Pre prihlásenia sa do portálu Ekvia PremiumPro prejdite na adresu: www.ekviapremiumpro.sk Kde po kliknutí na tlačidlo Prihlásenie v pravom

Více

Názov: Osmóza. Vek žiakov: Témy a kľúčové slová: osmóza, koncentrácia, zber dát a grafické znázornenie. Čas na realizáciu: 120 minút.

Názov: Osmóza. Vek žiakov: Témy a kľúčové slová: osmóza, koncentrácia, zber dát a grafické znázornenie. Čas na realizáciu: 120 minút. Názov: Osmóza Témy a kľúčové slová: osmóza, koncentrácia, zber dát a grafické znázornenie. Čas na realizáciu: 120 minút Vek žiakov: 14 16 rokov Úrovne práce s materiálom: Úlohy majú rôznu úroveň náročnosti.

Více

Konfigurácia IP Bell 02C Dverný vrátnik a FIBARO Home Center 2

Konfigurácia IP Bell 02C Dverný vrátnik a FIBARO Home Center 2 Konfigurácia IP Bell 02C Dverný vrátnik a FIBARO Home Center 2 Použité zariadenia: Riadiaca jednotka - Fibaro Home Center 2 vo verzii 4.059 BETA Dverný vrátnik - IP Bell 02C od spoločnosti ALPHATECH TECHNOLOGIES

Více

Imagine. Popis prostredia:

Imagine. Popis prostredia: Priemerný človek si zapamätá približne: - 10 % z toho, čo číta, - 20 % z toho, čo počuje, - 30 % z toho, čo vidí v podobe obrazu, - 50 % z toho, čo vidí a súčasne počuje, - 70 % z toho čo súčasne vidí,

Více

Programové prostredie mikrokontrolérov PIC

Programové prostredie mikrokontrolérov PIC Programové prostredie mikrokontrolérov PIC Vypracoval: Ing. Rudolf Sviantek Tento edukačný materiál vznikol v rámci projektu Programu celoživotného vzdelávania Leonardo da Vinci č. 11323 1208 Európske

Více

POSTUP GENEROVANIA ŽIADOSTI O KVALIFIKOVANÝ CERTIFIKÁT POMOCOU PROGRAMU COMFORTCHIP.

POSTUP GENEROVANIA ŽIADOSTI O KVALIFIKOVANÝ CERTIFIKÁT POMOCOU PROGRAMU COMFORTCHIP. POSTUP GENEROVANIA ŽIADOSTI O KVALIFIKOVANÝ CERTIFIKÁT POMOCOU PROGRAMU COMFORTCHIP. V prípade, že sa rozhodnete použiť ako úložisko kvalifikovaného certifikátu čipovú kartu StarCos2.3, musíte si žiadosť

Více

Bezdrôtová sieť s názvom EDU po novom

Bezdrôtová sieť s názvom EDU po novom Bezdrôtová sieť s názvom EDU po novom V priebehu augusta 2011 bolo staré riešenie WiFi (pripojenie k školskej bezdrôtovej sieti cez certifikáty) v plnej miere nahradené novým riešením. Staré riešenie už

Více

AR, MA a ARMA procesy

AR, MA a ARMA procesy Beáta Stehlíková FMFI UK Bratislava Overovanie stacionarity a invertovateľnosti Opakovanie - stacionarita AR procesu Zistite, či je proces x t = 1.2x t 1 + 0.5x t 2 + 0.3x t 3 + u t stacionárny. Napíšte

Více

MANUÁL K TVORBE CVIČENÍ NA ÚLOHY S POROZUMENÍM

MANUÁL K TVORBE CVIČENÍ NA ÚLOHY S POROZUMENÍM MANUÁL K TVORBE CVIČENÍ NA ÚLOHY S POROZUMENÍM Cvičenia na úlohy s porozumením si vieme pre žiakov vytvoriť v programe, ktorý stiahneme zo stránky http://www.education.vic.gov.au/languagesonline/games/comprehension/index.htm.

Více

2.5 Stropný systém. Únosnosť stropu POROTHERM. Building Value. str. 63

2.5 Stropný systém. Únosnosť stropu POROTHERM. Building Value. str. 63 POROTHERM Parametre únosnosti stropu sú uvedené v tabuľkách pre konkrétne kombinácie stropu podľa osovej vzdialenosti nosníkov a podľa hrúbky betónovej dosky (hrúbka betónu nad stropnými vložkami). V tabuľkách

Více

Návrh, implementácia a prevádzka informačného systému

Návrh, implementácia a prevádzka informačného systému Návrh, implementácia a prevádzka informačného systému Návrh Výsledkom analýzy je niekoľko modelov budúceho systému. Tie popisujú, čo sa bude v IS evidovať a čo sa bude s údajmi robiť. Modely nezohľadňujú

Více

Automatické pohony na brány

Automatické pohony na brány Manuál CITY1-EVO 230V CALYPSO NASTAVOVANIE RIADIACEJ ELEKTRONIKY 1. Zatlačte a držte zatlačené tlačidlo OK pokiaľ sa nezobrazí požadovaná položka. 2. Pustite tlačidlo OK. Následne sa zobrazí prvá položka

Více

Ako funguje stav účtu - prehľad o platbách na zdravotné odvody

Ako funguje stav účtu - prehľad o platbách na zdravotné odvody Ako funguje stav účtu - prehľad o platbách na zdravotné odvody Vo svojej Elektronickej pobočke odteraz vidíte nielen svoj stav účtu od roku 2009, ale máte aj možnosť preddavky 1 na poistné alebo dlh zaplatiť

Více

Predaj cez PC pokladňu

Predaj cez PC pokladňu Predaj cez PC pokladňu PC pokladňa je určená na predaj v hotovosti cez fiškálny modul, ale pracuje so skladom offline, t.j. pri predaji nie je možné zistiť aktuálny stav tovaru na sklade. Pri predaji cez

Více

Zvyškové triedy podľa modulu

Zvyškové triedy podľa modulu Zvyškové triedy podľa modulu Tomáš Madaras 2011 Pre dané prirodzené číslo m 2 je relácia kongruencie podľa modulu m na množine Z reláciou ekvivalencie, teda jej prislúcha rozklad Z na systém navzájom disjunktných

Více

SWS 500 SK POUŽÍVATEĽSKÁ PRÍRUČKA. Poznámka: Mobilný telefón nie je súčasťou dodávky.

SWS 500 SK POUŽÍVATEĽSKÁ PRÍRUČKA. Poznámka: Mobilný telefón nie je súčasťou dodávky. SWS 500 SK POUŽÍVATEĽSKÁ PRÍRUČKA Poznámka: Mobilný telefón nie je súčasťou dodávky. ZAČÍNAME Obsah balenia: Senzor na meranie teploty a vlhkosti SWS 500 Používateľská príručka 2x batéria 1,5 V typu AA

Více

Návod na použite plaftormy ELMARK E- Business obsahuje popis hlavných možností a funkcií programu. Príručka je štruktúrovaná podľa poradia možností.

Návod na použite plaftormy ELMARK E- Business obsahuje popis hlavných možností a funkcií programu. Príručka je štruktúrovaná podľa poradia možností. Návod na použite PLATFORMA ELMARK E-BUSINESS ÚVOD Návod na použite plaftormy ELMARK E- Business obsahuje popis hlavných možností a funkcií programu. Príručka je štruktúrovaná podľa poradia možností. Platforma

Více