PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

Rozměr: px
Začít zobrazení ze stránky:

Download "PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz"

Transkript

1 Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod Xilinx PicoBlaze Architektura procesoru Instrukční sada Přerušení KCPSM3 Assembler Překlad pomocí KCPSM C překladač PCCOMP Vlastnosti PCCOMP Překlad kódu Obsluha přerušení v C Simulační prostředí pblaze IDE Konfigurace pblazeide pro procesor KCPSM Simulace I/O portů Použité/Potřebné vybavení a nástroje Ukázkové příklady Příklad 1 Překlad assemblerového kódu a simulace procesoru Příklad 2 C překladač Obsah a popis přiloženého balíku Reference Revize Revize Datum Autor Popis změn v dokumentu J.Svozil Vytvoření dokumentu J.Svozil Revize 1 2.

2 1. Úvod Tato zpráva popisuje první lekci ze série lekcí, zabývajících se procesorem PicoBlaze. Lekce jsou připraveny jako vodítko práce s tímto procesorem a umožňují uživateli překonat počáteční bariéru spojenou s návrhem obvodů založených na procesoru PicoBlaze. Každá lekce je doplněna řešeným projektem. Všechny lekce a související projekty jsou navrženy a připraveny tak, aby je bylo možné absolvovat individuálně na vlastním PC, a to na těchto kartách: Na přípravku FITkit z VUT Brno s obvodem Spartan 3 XC3S50 a Xilinx ISE WebPack. Na kartě s3esk (Xilinx Starter Kit) se Spartan 3E XC3S500E a Xilinx ISE WebPack Na kartě ML402 s Virtex 4 SX Na kartě ML403 s Virtex 4 FX Xilinx ISE WebPack Tato první lekce seznámí uživatele s procesorem PicoBlaze a se simulačním prostředím pblazeide, dovolujícím modelovat a ladit PicoBlaze včetně jednoduchých periferií na PC. Současně seznámí uživatele s assemblerem procesoru a jednoduchým C překladačem a na příkladu vysvětlí obsluhu přerušení v asembleru a v jazyce C. 2. Xilinx PicoBlaze Xilinx PicoBlaze je velmi jednoduchý 8-bitový RISC procesor vytvořený pro FPGA čipy Spartan-3, Virtex-II, Virtex-II Pro a Virtex-4. Jeho hlavní předností je jeho velikost; v případě obvodu Spartan-3 zabírá procesor PicoBlaze pouze 96 slice, což odpovídá 5% z XC3S200 a méně než 0,3% z XC3S5000. Rychlost procesoru se pohybuje od 43 do 100 MIPS v závislosti na cílovém FPGA a jeho speed grade. Procesor PicoBlaze je plně začlenitelný do cílového FPGA a nevyžaduje žádné dodatečné externí zdroje. Jeho užití je výhodné především ve složitějších aplikacích, kde lze obsluhu časově nenáročných části realizovat právě pomocí tohoto procesoru a tím návrh značně zjednodušit. Procesor PicoBlaze je dodáván v několika verzích. V těchto lekcích bude použita verze KCPSM3 ((K)constant Coded Programmable State Machine). 2/12

3 2.1 Architektura procesoru Základní parametry a architektura procesoru PicoBlaze (KCPSM3) je na obrázku 1. 8-bitový procesor 1024 instrukcí (1 BlockRAM) 256 I/O adres 64 Byte uživatelská paměť 1 zdroj přerušení HW stack 31x10 Obrázek 1: Architektura procesoru Picoblaze [1] 2.2 Instrukční sada Kompletní instrukční sada procesoru PicoBlaze je na obrázku 2. Podrobný popis jednotlivých instrukcí lze nalézt v manuálu [1]. Obrázek 2: Instrukční soubor procesoru Picoblaze [2] 3/12

4 2.3 Přerušení Procesor PicoBlaze poskytuje pouze jeden zdroj přerušení. Pokud některá aplikace potřebuje zdrojů více, je toto možno realizovat pomocí jednoduché FPGA logiky a vytvořit tak další zdroje přerušení. Doporučené zapojení pro zpracování požadavku na jeden zdroj přerušení je znázorněno na obrázku 3. Obrázek 3: Přerušení u procesoru PicoBlaze [1] Po resetu procesoru je přerušení implicitně zakázáno a pro jeho použití je třeba jej aktivovat pomocí instrukce ENABLE INTERRUPT. K jeho opětovnému zakázání slouží instrukce DISABLE INTERRUPT. V okamžiku přerušení se po dokončení aktuálně zpracovávané instrukce provede instrukce CALL 3FF. Tato instrukce představuje skok na konec paměti programu. Zde bývá obvykle instrukce skoku na funkci pro obsluhu přerušení (ISR - interrupt service routine), viz obrázek 4. Přerušení automaticky zachovává jak Zero tak Carry flags, avšak pouze pro jednu úroveň volání obsluhy přerušení. (1) přerušení je třeba po resetu vždy povolit pomocí instrukce ENABLE INTERRUPT (2) vznik prerušení (3) automatický skok na adresu 3FF při žádosti o přerušení (4) skok na funkci pro obsluhu přerušení (ISR) (5) vlastní obsluha přerušení (6) návrat z funkce obsluhy přerušení s opětovným povolením přerušení (RETURNI ENABLE) Obrázek 4: Ukázka běhu obsluhy přerušení [1] 4/12

5 3. KCPSM3 Assembler 3.1 Překlad pomocí KCPSM3 Překlad se provádí pomocí programu KCPSM3.EXE (k dispozici na [4]). Pro překlad je nutné do společného adresáře nakopírovat zdrojový kód (filename.psm), program KCPSM3.EXE a šablony ROM_form.vhd, ROM_form.v a ROM_form.coe. Překlad se pak provede příkazem: kcpsm3 filename.psm <enter> Výstupem překladu je patnáct souborů popsaných na obrázku 5. Soubor filename.vhd představuje komponentu s programovou pamětí procesoru. Připojení programové paměti k procesoru PicoBlaze je na obrázku 6. Obrázek 5: Vstupní a výstupní soubory assembleru KCPSM3 [2] Obrázek 6: Procesor PicoBlaze (KCPSM3) s připojenou pamětí programu [2] 5/12

6 4. C překladač PCCOMP 4.1 Vlastnosti PCCOMP Překladač PCCOMP (the Picolaze's C Compiler) [5] byl vyvinut pro FPGA návrháře pracující s procesorem PicoBlaze. Překladač podporuje přerušení a in-line ASM, nepodporuje však celé ANSI C ani celé K&R C. Současná verze překladače umožňuje překlad pouze zjednodušeného C a překladač neprovádí žádné optimalizace. Přeložený kód bývá v některých případech nefunkční. 4.2 Překlad kódu K překladu zdrojového kódu z C do assembleru lze použít následující příkaz: pccomp [-c] [-v] [-s] file.c <enter> Parametr -c slouží k zobrazení zdrojového C kódu v psm souboru vygenerovaným pomocí pccomp. Parametr v se používá při překladu pro procesor KCPSM2. Parametr s určuje, zda bude pro datový zásobník použita vnitřní paměť (scratchpad), nebo externí paměť, připojená na vstupně výstupní porty procesoru. PCCOMP vytvoří soubor s názvem file.psm a současně také zobrazí zprávu o průběhu překladu a případná chybová hlášení. S PCCOMP lze překládat vždy jen jeden soubor a ten musí obsahovat funkci main(). 4.3 Obsluha přerušení v C Jak už bylo zmíněno výše, PicoBlaze má jeden zdroj přerušení a ten může být povolen, či zakázán. Příkaz na povolení přerušení je IRQ_ON;, příkaz pro zakázání pak IRQ_OFF;. Rutina přerušení musí být psána v asembleru a smí používat pouze registry s0,s1,s2 a s3. Funkce pro obsluhu přerušení musí být deklarována jako všechny ostatní funkce v programu. Deklarace funkce pro obsluhu přerušení se provádí pomocí klíčového slova interrupt. Ukázkový příklad na obsluhu přerušení v jazyce C je na obrázku 7. interrupt my_irq(void); char a,b,c; char had_an_irq; void main(){ IRQ_ON; while (1>0) while(had_an_irq) { IRQ_OFF; had_an_irq = 0; c=a+b; IRQ_ON; } } interrupt my_irq (void){ #asm load s0,1 store s0,had_an_irq ; had_an_irq = 1 #endasm } Obrázek 7: Obsluha přerušení v C 6/12

7 5. Simulační prostředí pblaze IDE Prostředí pblaze IDE [6] (obrázek 8) od společnosti Mediatronix je bezplatné grafické vývojové prostředí pro Windows sloužící k simulaci procesoru PicoBlaze. Vstupním souborem je soubor typu FMT, který vzniká při překladu pomocí assembleru KCPSM3. Obrázek 8: Simulační prostředí pblaze IDE. (Obrázek z [1]) 5.1 Konfigurace pblazeide pro procesor KCPSM3 Prostředí podporuje všechny čtyři typy procesoru Picoblaze, proto je třeba před otevřením simulovaného souboru nastavit, o jaký typ se jedná. To se provádí v záložce Settings (obrázek 9) Samotné otevření souboru se pak děje pomocí položky File Import (obrázek 10). Assembler vygenerovaný pomocí KCPSM3 se liší od assembleru používaného v simulátoru a tímto dojde k jeho automatické konverzi. Zdrojový soubor nelze pouze otevřít pomocí File Open, protože takto by se neprovedly potřebné změny ve zdrojovém souboru! 7/12

8 Obrázek 9: Nastavení typu Picoblaze Obrázek 10: Načtení simulovaného souboru 5.2 Simulace I/O portů Simulace I/O portů v prostředí pblazeide je zobrazeno a popsáno na obrázku 11, obrázku 12 a obrázku 13. Obrázek 11: Simulace dat na vstupních portech (Obrázek z [1]) Obrázek 12: Simulace dat na výstupních portech (Obrázek z [1]) 8/12

9 Obrázek 13: Simulace dat na I/O portech. (Obrázek z [1]) 6. Použité/Potřebné vybavení a nástroje K realizaci všech příkladů uvedených v této lekci je třeba stáhnout z internetu balíček procesoru PicoBlaze [4] (verzi PicoBlaze for Virtex-II and Virtex-II Pro), obsahující VHDL popis procesoru kcpsm3.vhd, překladač KCPSM3.EXE a obecný popis programové paměti procesoru ROM_form.vhd, ROM_form.c, ROM_form.coe. Dále je nutné stáhnout C překladač PCCOMP z adresy [5] a simulační prostředí pblaze IDE z adresy [7]. K realizaci obou ukázkových příkladů byla vyžita verze KCPSM 1.30, verze pblaze IDE ß a verze PPCOMP Ukázkové příklady Následující kapitola je věnována praktickému vyzkoušení C překladače, assembleru a simulace procesoru na dvou připravených ukázkových příkladech. Oba níže uvedené příklady ve svém postupu využívají překladač KCPSM3 včetně popisu programové paměti procesoru. Proto je třeba nejprve z internetového odkazu [4] stáhnout balíček procesoru Picoblaze obsahující vše zmíněné. K simulaci assemblerovského kódu je použito simulační prostředí pblazeide (viz kapitola 5). To je třeba také stáhnout a to z internetových stránek společnosti Mediatronix [7]. Simulátor pblazeide je tvořen pouze jedním souborem pblazeide.exe. 7.1 Příklad 1 Překlad asemblerového kódu a simulace procesoru 1) Zkopírujte z přiloženého CD z adresáře src soubor io_v0.zip a rozbalte jej. Pomocí assembleru KCPSM3 přeložte vzorový kód souboru io_test.psm (postup viz kapitola 3). 2) Přeložený soubor io_test.fmt importujte do prostředí pblaze IDE (obrázek 14). Důležité je provést import souboru (položka Import ), ne pouze otevření pomocí Open. 9/12

10 Obrázek 14: Načtení souboru do simulačního prostředí pblaze IDE 3) V záložce Settings zkontrolujeme nastavení simulace Picoblaze. Správná hodnota je Picoblaze 3, jak je prezentováno na obrázku 15:. Obrázek 15: Nastavení simulace PB 4) Před samotnou simulací je třeba odkomentovat část deklarace portů určenou pro simulaci a stávající deklaraci určenou pro překlad naopak zakomentovat, viz obrázek 16:. Obrázek 16: Změna definice portů takto má vypadat zdrojový soubor pro simulaci 10/12

11 5) Nyní můžeme pomocí tlačítek na ovládacím panelu spustit simulaci a uvést ji do režimu RUN, viz obrázek 17. Dále lze pomocí tlačítek v položce BTN_port a diod v položce LED_port vyzkoušejte funkčnost programu. Program v tomto příkladu má velmi jednoduchou funkci, a to že v nekonečné smyčce čte hodnoty z BTN_portu a beze změny je zapisuje na výstupní port LED_port. I. Simulační mód II. Start simulace (RUN) III. Testovací tlačítka a diody Obrázek 17: Simulace programu io_test.fmt v pblaze IDE 7.2 Příklad 2 C překladač 1) Z internetových stránek [5] stáhněte program PCCOMP (C překladač). 2) Z přiloženého cd zkopírujte z adresáře src z balíku io_c_v0.zip soubor io_test.c. Ten rozbalte do adresáře s programem PCCOMP. 3) Proveďte překlad zdrojového souboru io_test.c pomocí příkazu pccomp -c -s io_test.c (obrázek 18) Obrázek 18: Překlad pomocí PCCOMP 4) Překladem jsme získali assemblerovský soubor io_test.psm, na který teď použijeme stejný postup jako u příkladu 1. Tzn. přeložíme jej pomocí programu KCPSM3.EXE a simulujeme programem pblazeide. Důležité je zachovat doporučený postup jednotlivých kroků tak, jak je popsáno v předchozí kapitole /12

12 8. Obsah a popis přiloženého balíku PicoBlaze_Lekce1/ src/ io_v0.zip Příklad 1 io_c_v0.zip Příklad 2 doc/ PicoBlaze_lekce1.pdf Tento dokument pccomp.zip pccomp.exe C překladač 9. Reference [1] Xilinx, PicoBlaze 8-bit Embedded Microcontroller User Guide for Spartan-3, Virtex-II, and Virtex-II Pro FPGAs, [2] Xilinx, KCPSM3 Manual [3] Xilinx, PicoBlaze User Resources [4] Xilinx, PicoBlaze, [5] 1 Francesco Poderico, PCCOMP C compiler download page, [6] Mediatronix, PicoBlaze IDE, [7] Mediatronix, PicoBlaze IDE, stažení pblazide.exe Poděkování Tato práce byla podpořena projektem ministerstva školství a tělovýchovy číslo 2C06008, 1 Tyto stránky jsou dočasně nedostupné. PCCOMP je součástí přiloženého CD (v souboru pccomp.zip). 12/12

PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec

PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec Technická zpráva PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz, kafkal@utia.cas.cz Obsah 1. Úvod... 2 2.

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1.

Více

Použití programu uscope k simulaci výukového přípravku pro předmět PMP

Použití programu uscope k simulaci výukového přípravku pro předmět PMP Použití programu uscope k simulaci výukového přípravku pro předmět PMP Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Příloha č. I: Schéma zapojení vývojové desky PVK-PRO

Příloha č. I: Schéma zapojení vývojové desky PVK-PRO Příloha č. I: Schéma zapojení vývojové desky PVK-PRO Schéma zapojení vývojové desky PVK-PRO (http://poli.cs.vsb.cz/edu/arp/down/pvk-pro.png) Příloha č. III: Organizace registrů v bankách PIC 16F84 Příloha

Více

Přerušovací systém s prioritním řetězem

Přerušovací systém s prioritním řetězem Přerušovací systém s prioritním řetězem Doplňující text pro přednášky z POT Úvod Přerušovací systém mikropočítače může být koncipován několika způsoby. Jednou z možností je přerušovací systém s prioritním

Více

Návod na použití programu 8051 IDE

Návod na použití programu 8051 IDE Návod na použití programu 8051 IDE Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl v rámci projektu ESF CZ.1.07/2.2.00/07.0247,

Více

Procesor z pohledu programátora

Procesor z pohledu programátora Procesor z pohledu programátora Terminologie Procesor (CPU) = řadič + ALU. Mikroprocesor = procesor vyrobený monolitickou technologií na čipu. Mikropočítač = počítač postavený na bázi mikroprocesoru. Mikrokontrolér

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM

Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM Úloha č.: 5. Zadání: 1. Seznamte se s konstrukcí cvičné zásuvné adaptérové desky

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Procesory, mikroprocesory, procesory na FPGA. 30.1.2013 O. Novák, CIE 11 1

Procesory, mikroprocesory, procesory na FPGA. 30.1.2013 O. Novák, CIE 11 1 Procesory, mikroprocesory, procesory na FPGA 30.1.2013 O. Novák, CIE 11 1 Od sekvenčních automatů k mikroprocesorům 30.1.2013 O. Novák, CIE 11 2 30.1.2013 O. Novák, CIE 11 3 Architektura počítačů Von Neumannovská,

Více

Struktura a architektura počítačů (BI-SAP) 7

Struktura a architektura počítačů (BI-SAP) 7 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 7 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Strojový kód k d a asembler procesoru MIPS SPIM. MIPS - prostředí NMS NMS. 32 ks 32bitových registrů ( adresa registru = 5 bitů).

Strojový kód k d a asembler procesoru MIPS SPIM. MIPS - prostředí NMS NMS. 32 ks 32bitových registrů ( adresa registru = 5 bitů). Strojový kód k d a asembler procesoru MIPS Použit ití simulátoru SPIM K.D. - cvičení ÚPA 1 MIPS - prostředí 32 ks 32bitových registrů ( adresa registru = 5 bitů). Registr $0 je zero čte se jako 0x0, zápis

Více

Správa zařízení Scan Station Pro 550 a Servisní nástroje zařízení Scan Station

Správa zařízení Scan Station Pro 550 a Servisní nástroje zařízení Scan Station Správa zařízení Scan Station Pro 550 a Servisní nástroje zařízení Scan Station Konfigurační příručka A-61732_cs 7J4367 Správa zařízení Kodak Scan Station Pro 550 Obsah Rozdíly... 1 Instalace... 2 Vytváření

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

Další aspekty architektur CISC a RISC Aktuálnost obsahu registru

Další aspekty architektur CISC a RISC Aktuálnost obsahu registru Cíl přednášky: Vysvětlit principy práce s registry v architekturách RISC a CISC, upozornit na rozdíly. Vysvětlit možnosti využívání sad registrů. Zabývat se principy využívanými v procesorech Intel. Zabývat

Více

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU HOST PC - TARGET PC PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ Stanislav Flígl Katedra elektrických pohonů a trakce (K13114),

Více

PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec

PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec Technická zpráva PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz, stejskal@utia.cas.cz

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Kubatova 19.4.2007 Y36SAP - 13. procesor - control unit obvodový a mikroprogramový řadič RISC. 19.4.2007 Y36SAP-control unit 1

Kubatova 19.4.2007 Y36SAP - 13. procesor - control unit obvodový a mikroprogramový řadič RISC. 19.4.2007 Y36SAP-control unit 1 Y36SAP - 13 procesor - control unit obvodový a mikroprogramový řadič RISC 19.4.2007 Y36SAP-control unit 1 Von Neumannova architektura (UPS1) Instrukce a data jsou uloženy v téže paměti. Paměť je organizována

Více

První kroky s METEL IEC IDE

První kroky s METEL IEC IDE První kroky s poskytuje programování v IEC 61131-3 jazycích, podporuje jak grafickou tak textovou podobu. Umožňuje vytvářet, upravovat a ladit IEC 61131-3 (ST, LD, IL, FBD) programy pro řídicí jednotky

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

.NET Framework verze 3.5... 4 Program pro připojení ke vzdálené ploše (RDC) verze 7.1... 5

.NET Framework verze 3.5... 4 Program pro připojení ke vzdálené ploše (RDC) verze 7.1... 5 Obsah Přístup k serveru ČMIS Kancelář Online... 2 Úvod... 2 Uživatelé s operačním systémem Windows XP musí nainstalovat:... 2 Uživatelé s operačním systémem Windows Vista musí nainstalovat:... 4.NET Framework

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

MIDAM Simulátor Verze 1.5

MIDAM Simulátor Verze 1.5 MIDAM Simulátor Verze 1.5 Simuluje základní komunikační funkce modulů Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600. Umožňuje změny konfigurace, načítání

Více

MIDAM Verze 1.1. Hlavní okno :

MIDAM Verze 1.1. Hlavní okno : MIDAM Verze 1.1 Podporuje moduly Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600, Ghc 2x. Umožňuje nastavení parametrů, sledování výstupních nebo vstupních

Více

Architektura procesoru ARM

Architektura procesoru ARM Architektura procesoru ARM Bc. Jan Grygerek GRY095 Obsah ARM...3 Historie...3 Charakteristika procesoru ARM...4 Architektura procesoru ARM...5 Specifikace procesoru...6 Instrukční soubor procesoru...6

Více

Ing. Michal Martin. Spojení PLC CLICK s NA-9289

Ing. Michal Martin. Spojení PLC CLICK s NA-9289 Propojení PLC CLICK s NA-9289 Autor: Ing. Michal Martin Copyright TECON spol. s r. o., Vrchlabí, Česká republika Tato publikace prošla jen částečnou jazykovou korekturou. Tato publikace vznikla na základě

Více

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Číslo projektu: Číslo šablony: Název materiálu: Ročník: Identifikace materiálu: Jméno autora: Předmět: Tématický celek: Anotace: CZ.1.07/1.5.00/34.0410

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Nastavení programu pro práci v síti

Nastavení programu pro práci v síti Nastavení programu pro práci v síti Upozornění: následující text nelze chápat jako kompletní instalační instrukce - jedná se pouze stručný návod, který z principu nemůže popsat všechny možné stavy ve vašem

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Téma 8: Konfigurace počítačů se systémem Windows 7 IV

Téma 8: Konfigurace počítačů se systémem Windows 7 IV Téma 8: Konfigurace počítačů se systémem Windows 7 IV 1 Teoretické znalosti V tomto cvičení budete pracovat se správou vlastností systému, postupně projdete všechny karty tohoto nastavení a vyzkoušíte

Více

USB Dokovací stanice. Uživatelský manuál

USB Dokovací stanice. Uživatelský manuál USB Dokovací stanice Uživatelský manuál Úvod Notebooková univerzální dokovací stanice USB 2.0 Dokovací stanice je unikátní rozšiřovací jednotkou speciálně navrženou k doplnění notebooků nebo stolních počítačů,

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

P D S - 5 P. Psychologický diagnostický systém. Instalační manuál. Popis instalace a odinstalace programu Popis instalace USB driverů

P D S - 5 P. Psychologický diagnostický systém. Instalační manuál. Popis instalace a odinstalace programu Popis instalace USB driverů P D S - 5 P Psychologický diagnostický systém Instalační manuál Popis instalace a odinstalace programu Popis instalace USB driverů GETA Centrum s.r.o. 04 / 2012 GETA Centrum s.r.o. Nad Spádem 9/206, 147

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

ZÁKLADNÍ POKYNY PRO INSTALACI PROID+ Z INSTALAČNÍHO MÉDIA

ZÁKLADNÍ POKYNY PRO INSTALACI PROID+ Z INSTALAČNÍHO MÉDIA ZÁKLADNÍ POKYNY PRO INSTALACI PROID+ Z INSTALAČNÍHO MÉDIA Vážený zákazníku, máte k dispozici médium, s jehož pomocí můžete na svém systému zprovoznit funkce čipové karty ProID+. Pro správnou funkčnost

Více

Instalace a první spuštění Programu Job Abacus Pro

Instalace a první spuštění Programu Job Abacus Pro Instalace a první spuštění Programu Job Abacus Pro Pro chod programu je nutné mít nainstalované databázové úložiště, které je připraveno v instalačním balíčku GAMP, který si stáhnete z našich webových

Více

Návod k simulaci výukového přípravku v programu Hybrid Circuit Simulator (HyCiSim) verze 0.4

Návod k simulaci výukového přípravku v programu Hybrid Circuit Simulator (HyCiSim) verze 0.4 Návod k simulaci výukového přípravku v programu Hybrid Circuit Simulator (HyCiSim) verze 0.4 Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií

Více

ČEMU ROZUMÍ MIKROPROCESOR?

ČEMU ROZUMÍ MIKROPROCESOR? ČEMU ROZUMÍ MIKROPROCESOR? Čemu rozumí mikroprocesor? Číslo DUM v digitálním archivu školy VY_32_INOVACE_10_01_01 Materiál poskytuje pohled na mikroprocesor, jako na číslicový obvod. Seznamuje se základními

Více

POKYNY K INSTALACI JAVA PLUGINU A ELEKTRONICKÉHO PODPISU V SYSTÉMU ELZA. Stav ke dni 1.1.2013 verze 1.0

POKYNY K INSTALACI JAVA PLUGINU A ELEKTRONICKÉHO PODPISU V SYSTÉMU ELZA. Stav ke dni 1.1.2013 verze 1.0 POKYNY K INSTALACI JAVA PLUGINU A ELEKTRONICKÉHO PODPISU V SYSTÉMU ELZA Stav ke dni 1.1.2013 verze 1.0 Obsah: 1 Úvod... 3 2 Postup instalace JAVA pluginu... 4 2.1.1 Test instalace Java pluginu v prohlížeči...

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Střední průmyslová škola elektrotechniky, informatiky a řemesel, Frenštát pod Radhoštěm, příspěvková organizace Témata profilové maturitní zkoušky Obor: Elektrotechnika Třída: E4A Školní rok: 2010/2011

Více

T-Mobile Internet. Manager. pro Windows NÁVOD PRO UŽIVATELE

T-Mobile Internet. Manager. pro Windows NÁVOD PRO UŽIVATELE T-Mobile Internet Manager pro Windows NÁVOD PRO UŽIVATELE Obsah 03 Úvod 04 Požadavky na hardware a software 04 Připojení zařízení k počítači 05 Uživatelské rozhraní 05 Výběr sítě 06 Připojení k internetu

Více

Reliance 3 design OBSAH

Reliance 3 design OBSAH Reliance 3 design Obsah OBSAH 1. První kroky... 3 1.1 Úvod... 3 1.2 Založení nového projektu... 4 1.3 Tvorba projektu... 6 1.3.1 Správce stanic definice stanic, proměnných, stavových hlášení a komunikačních

Více

HelenOS ARM port. Pavel Jančík Michal Kebrt Petr Štěpán

HelenOS ARM port. Pavel Jančík Michal Kebrt Petr Štěpán HelenOS ARM port Pavel Jančík Michal Kebrt Petr Štěpán HelenOS experimentální operační systém (MFF) multiplatformní microkernel amd64, ia32, ia32xen, ia64, mips32, ppc32, ppc64, sparc64 plánování správa

Více

MBus Explorer MULTI. Uživatelský manuál V. 1.1

MBus Explorer MULTI. Uživatelský manuál V. 1.1 MBus Explorer MULTI Uživatelský manuál V. 1.1 Obsah Sběr dat ze sběrnice Mbus...3 Instalace...3 Spuštění programu...3 Program MBus Explorer Multi...3 Konfigurace sítí...5 Konfigurace přístrojů...6 Nastavení

Více

Instalace elišky 3.0 na Windows 7 (32-bitová verze) ČMSS a.s.

Instalace elišky 3.0 na Windows 7 (32-bitová verze) ČMSS a.s. Instalace elišky 3.0 na Windows 7 (32-bitová verze) ČMSS a.s. Popis instalace 2010 NESS Czech s.r.o. Veškerá práva vyhrazena. NESS Czech s.r.o., V Parku 2335/20, 148 00 Praha 4 tel.: +420 244 026 400 -

Více

Datacard. Instrukce k aktualizaci firmware tiskáren. Aktualizační aplikace pro operační systémy Windows

Datacard. Instrukce k aktualizaci firmware tiskáren. Aktualizační aplikace pro operační systémy Windows Datacard Instrukce k aktualizaci firmware tiskáren Aktualizační aplikace pro operační systémy Windows Co potřebujete Tiskárna karet je zapnuta a připravena k tisku karet ve stavu Ready, a stavová dioda

Více

Importy a exporty KLIENTSKÝ DOPLNĚK PRO PODPORU IMPORTŮ VERZE 3.2.0

Importy a exporty KLIENTSKÝ DOPLNĚK PRO PODPORU IMPORTŮ VERZE 3.2.0 Importy a exporty KLIENTSKÝ DOPLNĚK PRO PODPORU IMPORTŮ VERZE 3.2.0 Obsah 1. ÚVOD... 3 2. IMPORTY Z EXCELU A TEXTU... 3 2.1 INSTALACE A KONFIGURACE IMPORT... 3 2.1.1 Předpoklad instalace pro import z XLS...

Více

MPASM a IDE pro vývoj aplikací MCU (Microchip)

MPASM a IDE pro vývoj aplikací MCU (Microchip) MPASM a IDE pro vývoj aplikací MCU (Microchip) MPLAB, vývojové prostředí a jeho instalace; Založení nového projektu a jeho základní nastavení; Zásady tvorby a základní úprava formuláře zdrojového kódu;

Více

KP KP KP DIAGNOSTIKA KOTLŮ KP

KP KP KP DIAGNOSTIKA KOTLŮ KP KP 10-20-50 KP 11-21-51 KP 12-22-62 DIAGNOSTIKA KOTLŮ KP Obsah 1. Diagnostika kotlů KP... 3 2. Diagnostika kotle prostřednictvím řídící jednotky... 4 2.1. Diagnostika RKP 12157... 4 2.2. DiagnostikaE 2008...

Více

Nastavení Java pro aplikaci G-Client Str. 1/8

Nastavení Java pro aplikaci G-Client Str. 1/8 Str. 1/8 Obsah 1. Instalace/aktualizace Javy... 2 2. Vytvoření výjimky pro aplikaci G-Client... 2 2.1. Vytvoření výjimky přes skript... 2 2.2. Ruční vytvoření výjimky... 3 3. Instalace certifikátu pro

Více

CS OTE. Dokumentace pro externí uživatele

CS OTE. Dokumentace pro externí uživatele CS OTE OTE Launcher Manager 1/20 Obsah Použité zkratky... 2 1 Úvod... 3 2 Nastavení systému uživatele... 3 2.1 Konfigurace stanice... 3 2.2 Distribuce aplikace OTE Launcher Manager... 3 2.3 Download aplikace

Více

1. Diagnostika kotle prostřednictvím řídící jednotky

1. Diagnostika kotle prostřednictvím řídící jednotky Diagnostika kotlů KP Pro diagnostiku poruchových a přechodných stavů, které se na kotlích KP mohou vyskytnout jsou k dispozici následující technické prostředky: diagnostika kotle diagnostika kotle diagnostika

Více

Nastavení DCOM. Uživatelský manuál

Nastavení DCOM. Uživatelský manuál Nastavení DCOM Uživatelský manuál Obsah Úvod... 2 Nastavení DCOM pro počítač Hostitel... 3 Operační systém Windows XP... 3 Nastavení vlastností DCOM na Windows XP... 3 Rozšířená nastavení DCOM na Windows

Více

TACHOTel manuál 2015 AURIS CZ

TACHOTel manuál 2015 AURIS CZ TACHOTel manuál 2 TACHOTel Obsah Foreword I Úvod 0 3 1 Popis systému... 3 2 Systémové... požadavky 4 3 Přihlášení... do aplikace 5 II Nastavení aplikace 6 1 Instalace... a konfigurace služby ATR 6 2 Vytvoření...

Více

Správné vytvoření a otevření textového souboru pro čtení a zápis představuje

Správné vytvoření a otevření textového souboru pro čtení a zápis představuje f1(&pole[4]); funkci f1 předáváme hodnotu 4. prvku adresu 4. prvku adresu 5. prvku hodnotu 5. prvku symbolická konstanta pro konec souboru je eof EOF FEOF feof Správné vytvoření a otevření textového souboru

Více

Postup získání licence programu DesignBuilder v4

Postup získání licence programu DesignBuilder v4 Postup získání licence programu DesignBuilder v4 DesignBuilder je tvořen grafickým prostředím propojeným s několika moduly poskytujícími určitou funkci. Budete potřebovat licenci pro každý z modulů, který

Více

Přednáška. Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012

Přednáška. Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012 Přednáška Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012 Příprava studijního programu Informatika je podporována projektem financovaným z Evropského

Více

8. Laboratoř: Aritmetika a řídicí struktury programu

8. Laboratoř: Aritmetika a řídicí struktury programu 8. Laboratoř: Aritmetika a řídicí struktury programu Programy v JSA aritmetika, posuvy, využití příznaků Navrhněte a simulujte v AVR studiu prográmky pro 24 bitovou (32 bitovou) aritmetiku: sčítání, odčítání,

Více

Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic

Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic 1 Cíl přednášky Zabývat se principy využití principů přerušení. Popsat, jak se tyto principy odrazily v konstrukci systémových

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

Real Time programování v LabView. Ing. Martin Bušek, Ph.D.

Real Time programování v LabView. Ing. Martin Bušek, Ph.D. Real Time programování v LabView Ing. Martin Bušek, Ph.D. Úvod - související komponenty LabVIEW development Konkrétní RT hardware - cíl Použití LabVIEW RT module - Pharlap ETS, RTX, VxWorks Možnost užití

Více

2) Nový druh připojení Ethernet-CA5 umožňující připojit nové zařízení CA5 a to přes Ethernet nebo přes GPRS

2) Nový druh připojení Ethernet-CA5 umožňující připojit nové zařízení CA5 a to přes Ethernet nebo přes GPRS Změny ve verzi Micropel DataServer 3.209, vydáno 01/2017 1) Nastavení ovladače MODBUS-slave pro periferní zařízení MEX 2) Nový druh připojení Ethernet-CA5 umožňující připojit nové zařízení CA5 a to přes

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

CS OTE. Dokumentace pro externí uživatele

CS OTE. Dokumentace pro externí uživatele CS OTE OTE Launcher Manager 1/13 Obsah Použité zkratky... 2 1 Úvod... 3 2 Nastavení systému uživatele... 3 2.1 Konfigurace stanice... 3 2.2 Distribuce aplikace OTE Launcher Manager... 3 2.3 Download aplikace

Více

4.2. Odhlásit se Šifrování hesla Sepnutí výstupních relé Antipassback Zobrazení všech dat...

4.2. Odhlásit se Šifrování hesla Sepnutí výstupních relé Antipassback Zobrazení všech dat... Obsah 1. ÚVOD...3 2. EDITOVÁNÍ DAT V PAMĚTI ŘÍDÍCÍ JEDNOTKY...3 3. PRVNÍ SPUŠTĚNÍ PROGRAMU...3 4. POPIS MENU PŘIHLÁŠENÍ...5 4.1. Přihlásit se...6 4.2. Odhlásit se...6 4.3. Změna hesla...6 4.4. Šifrování

Více

Uživatelský modul. DF1 Ethernet

Uživatelský modul. DF1 Ethernet Uživatelský modul DF1 Ethernet APLIKAC NÍ PR ÍRUC KA POUŽITÉ SYMBOLY Použité symboly Nebezpečí Důležité upozornění, jež může mít vliv na bezpečí osoby či funkčnost přístroje. Pozor Upozornění na možné

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Windows a real-time. Windows Embedded

Windows a real-time. Windows Embedded Windows a real-time Windows Embedded Windows pro Embedded zařízení Současnost (2008): Windows Embedded WINDOWS EMBEDDED Windows Embedded CE Windows XP Embedded Windows Embedded for Point of Service Minulé

Více

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o.

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o. Zpracování obrazu v FPGA Leoš Maršálek ATEsystem s.r.o. Základní pojmy PROCESOROVÉ ČIPY Křemíkový čip zpracovávající obecné instrukce Různé architektury, pracují s různými paměti Výkon instrukcí je závislý

Více

Programování PICAXE18M2 v Assembleru

Programování PICAXE18M2 v Assembleru Nastavení programming editoru PICAXE PROGRAMMING EDITOR 6 Programování PICAXE18M2 v Assembleru Nastavit PICAXE Type PICAXE 18M2(WJEC-ASSEMBLER, stejně tak nastavit Simulation Pokud tam není, otevřeme přes

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

A4300BDL. Ref: JC

A4300BDL. Ref: JC # Uživatelský manuál A4300BDL Aplikace :! Jednoduchý program umožňující přenos souboru s pochůzkou k měření z programu DDS 2000 do přístroje řady Adash 4300! Jednoduchý program umožňující přenos naměřených

Více

Popis programu EnicomD

Popis programu EnicomD Popis programu EnicomD Pomocí programu ENICOM D lze konfigurovat výstup RS 232 přijímačů Rx1 DIN/DATA a Rx1 DATA (přidělovat textové řetězce k jednotlivým vysílačům resp. tlačítkům a nastavovat parametry

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

Value Adaptér USB -> telefon (RJ11) Katalogové číslo: 15.99.7525. Typ: EzSKY050

Value Adaptér USB -> telefon (RJ11) Katalogové číslo: 15.99.7525. Typ: EzSKY050 Value Adaptér USB -> telefon (RJ11) Katalogové číslo: 15.99.7525 Typ: EzSKY050 Balení 1. 1x EzSKY050 device 2. 1x Quick Guide Manual 3. 1x CD (s ovladači a manuálem) Specifikace produktu Specifikace hardwaru

Více

FORTANNS. havlicekv@fzp.czu.cz 22. února 2010

FORTANNS. havlicekv@fzp.czu.cz 22. února 2010 FORTANNS manuál Vojtěch Havlíček havlicekv@fzp.czu.cz 22. února 2010 1 Úvod Program FORTANNS je software určený k modelování časových řad. Kód programu má 1800 řádek a je napsán v programovacím jazyku

Více

1. Konfigurace projektu a prostředí

1. Konfigurace projektu a prostředí 1. Konfigurace projektu a prostředí Po prvním spuštění bez existence definičního souboru projektu naběhne uscope IDE v režimu simulátor, plocha pro editační okna je prázdná. Nejprve je třeba nadefinovat

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

Assembler RISC RISC MIPS. T.Mainzer, kiv.zcu.cz

Assembler RISC RISC MIPS. T.Mainzer, kiv.zcu.cz Assembler RISC T.Mainzer, kiv.zcu.cz RISC RISC, neboli Reduced Instruction Set Computer - koncepce procesorů s redukovaným souborem instrukcí (vs. CISC, neboli Complex Instruction Set Computer, "bohatý"

Více

INSTALACE SOFTWARE PROID+ NA MS WINDOWS

INSTALACE SOFTWARE PROID+ NA MS WINDOWS INSTALACE SOFTWARE PROID+ NA MS WINDOWS Pro správnou funkčnost ProID+ je třeba na daný počítač instalovat ovládací software ProID+. Instalace ovládacího software ProID+ se provádí pomocí instalačního balíčku.

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

POTŘEBA A PRODUKCE ENERGIÍ V ZEMĚDĚLSKÉ VÝROBĚ V ČR V KRIZOVÉ SITUACI. Specializovaná mapa. Návod k používání programu

POTŘEBA A PRODUKCE ENERGIÍ V ZEMĚDĚLSKÉ VÝROBĚ V ČR V KRIZOVÉ SITUACI. Specializovaná mapa. Návod k používání programu VÝZKUMNÝ ÚSTAV ZEMĚDĚLSKÉ TECHNIKY, v.v.i. ČESKÁ ZEMĚDĚLSKÁ UNIVERZITA v PRAZE POTŘEBA A PRODUKCE ENERGIÍ V ZEMĚDĚLSKÉ VÝROBĚ V ČR V KRIZOVÉ SITUACI Specializovaná mapa Návod k používání programu Projekt

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 1.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 06 Ver.1.10 J. Zděnek,

Více

IMTEE Přednáška č. 8. interrupt vector table CPU při vzniku přerušení skáče na pevně dané místo v paměti (obvykle začátek CODE seg.

IMTEE Přednáška č. 8. interrupt vector table CPU při vzniku přerušení skáče na pevně dané místo v paměti (obvykle začátek CODE seg. Přerušení Důvod obsluha asynchronních událostí (CPU mnohem rychlejší než pomalé periferie má klávesnice nějaké znaky? ) Zdroje přerušení interrupt source o HW periferie (UART, Disk, časovače apod.) o SW

Více

konec šedesátých let vyvinut ze systému Multics původní účel systém pro zpracování textů autoři: Ken Thompson a Denis Ritchie systém pojmnoval Brian

konec šedesátých let vyvinut ze systému Multics původní účel systém pro zpracování textů autoři: Ken Thompson a Denis Ritchie systém pojmnoval Brian 02 konec šedesátých let vyvinut ze systému Multics původní účel systém pro zpracování textů autoři: Ken Thompson a Denis Ritchie systém pojmnoval Brian Kernighan v r. 1973 přepsán do jazyka C Psát programy,

Více

Návod na práci s přípravkem a programy uscope a FLIP na cvičeních

Návod na práci s přípravkem a programy uscope a FLIP na cvičeních Návod na práci s přípravkem a programy uscope a FLIP na cvičeních Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl

Více

Instalační manuál pixel-fox

Instalační manuál pixel-fox Instalační manuál pixel-fox Verze 11/2011 V6 (CZ) - Subject to change without notice! Systémové požadavky: Aktuální minimální systémové požadavky pro používání software pixel-fox na vašem PC jsou: - IBM

Více

Pro označení disku se používají písmena velké abecedy, za nimiž následuje dvojtečka.

Pro označení disku se používají písmena velké abecedy, za nimiž následuje dvojtečka. 1 Disky, adresáře (složky) a soubory Disky Pro označení disku se používají písmena velké abecedy, za nimiž následuje dvojtečka. A:, B: C:, D:, E:, F: až Z: - označení disketových mechanik - ostatní disky

Více

Aplikace a služba Money Dnes Publisher v deseti krocích

Aplikace a služba Money Dnes Publisher v deseti krocích 2 Money Dnes Publisher Uživatelská příručka Aplikace a služba Money Dnes Publisher v deseti krocích Tento step-by-step manuál vás provede korektním nastavením ovladače Money Dnes Publisher pomocí přiloženého

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Téma 10: Správa hardwarových zařízení a ovladačů II

Téma 10: Správa hardwarových zařízení a ovladačů II Téma 10: Správa hardwarových zařízení a ovladačů II 1 Teoretické znalosti V tomto cvičení budete detailněji pracovat se Správcem zařízení a nastavením ovladačů zařízení hardware. Správce zařízení S tímto

Více

Nastavení služby T-Mobile GPRS. pro přístup na Internet z počítače s operačním systémem Windows

Nastavení služby T-Mobile GPRS. pro přístup na Internet z počítače s operačním systémem Windows Nastavení služby T-Mobile GPRS pro přístup na Internet z počítače s operačním systémem Windows Obsah Úvod Instalace modemu Nastavení počítače Obecné parametry nastavení Úvod Tento manuál slouží jako návod

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více