VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ. Fakulta elektrotechniky a komunikačních technologií DIPLOMOVÁ PRÁCE

Rozměr: px
Začít zobrazení ze stránky:

Download "VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ. Fakulta elektrotechniky a komunikačních technologií DIPLOMOVÁ PRÁCE"

Transkript

1 VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta elektrotechniky a komunikačních technologií DIPLOMOVÁ PRÁCE Brno, 2017 Bc. Patrik Cymorek

2 VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION ÚSTAV TELEKOMUNIKACÍ DEPARTMENT OF TELECOMMUNICATIONS IMPLEMENTACE STANDARDU NG-PON2 DO OPNET MODELERU NG-PON2 STANDARD IMPLEMENTATION IN OPNET MODELER DIPLOMOVÁ PRÁCE MASTER'S THESIS AUTOR PRÁCE AUTHOR Bc. Patrik Cymorek VEDOUCÍ PRÁCE SUPERVISOR Ing. Tomáš Horváth BRNO 2017

3 Diplomová práce magisterský navazující studijní obor Telekomunikační a informační technika Ústav telekomunikací Student: Bc. Patrik Cymorek ID: Ročník: 2 Akademický rok: 2016/17 NÁZEV TÉMATU: Implementace standardu NG-PON2 do OPNET Modeleru POKYNY PRO VYPRACOVÁNÍ: V rámci diplomové práce se bude nutné seznámit se standardy pasivních optických sítí. Důraz bude kladen na aktuálně schválený standard pro sítě druhé generace (NG-PON2). Dílčí část teoretického rozboru bude věnována algoritmům dynamického přidělování šířky pásma (DBA) a principu přenosu dat v sestupném/vzestupném směru. V praktické části bude provedena implementace pasivní optické sítě s dynamickým alokováním šířky pásma podle některého z dostupných algoritmů (GIANT, Min-Max Fair aj.). Dále bude provedena modifikace algoritmu a diskuze dosažených výsledků. Dosažené výsledky porovnejte se statickým přidělováním šířky pásma. DOPORUČENÁ LITERATURA: [1] KHOTIMSKY, Denis A. NG-PON2 Transmission Convergence Layer: A Tutorial. Journal of Lightwave Technology , 34(5), DOI: /JLT ISSN Dostupné také z: [2] LUO, Yuanqiu, Hal ROBERTS, Klaus GROBE, et al. Physical Layer Aspects of NG-PON2 Standards Part 2: System Design and Technology Feasibility [Invited]. Journal of Optical Communications and Networking. 2016, 8(1), 43-. DOI: /JOCN ISSN Dostupné také z: Termín zadání: Termín odevzdání: Vedoucí práce: Konzultant: Ing. Tomáš Horváth doc. Ing. Jiří Mišurec, CSc. předseda oborové rady UPOZORNĚNÍ: Autor diplomové práce nesmí při vytváření diplomové práce porušit autorská práva třetích osob, zejména nesmí zasahovat nedovoleným způsobem do cizích autorských práv osobnostních a musí si být plně vědom následků porušení ustanovení 11 a následujících autorského zákona č. 121/2000 Sb., včetně možných trestněprávních důsledků vyplývajících z ustanovení části druhé, hlavy VI. díl 4 Trestního zákoníku č.40/2009 Sb. Fakulta elektrotechniky a komunikačních technologií, Vysoké učení technické v Brně / Technická 3058/10 / / Brno

4 ABSTRAKT Cílem této práce je seznámit se s komunikací ve standardu NG-PON2. První kapitola dokumentu se zabývá popisem optických sítí. V následující kapitole je popsána komunikace ve standardu NG-PON2 zahrnující také detailní popis sestupného a vzestupného rámce. V závěru teoretické části je obsažena kapitola popisující metody přidělování grantů. V praktické části jsou v prostředí OPNET Modeleru vytvořeny vlastní entity, které byly použity pro simulaci statického a dynamického přidělování grantů. V závěru praktické části jsou navržené entity a výsledky dosažené simulací srovnány s reálným chováním v síti. V příloze je vytvořen návrh laboratorní úlohy s využitím navržených entit. KLÍČOVÁ SLOVA NG-PON2, OPNET Modeler, přidělování grantů ABSTRACT The aim of this paper is to apprise ourselves with communication in NG-PON2 standard. The first chapter describes optical networks. The second chapter deals with description of NG-PON2 standard and detailed description of downstream and upstream frame. Methods of grant allocation are described at the end of the theoretical section of this paper. Own entities were created in the practical part of this paper and they were used to simulate static and dynamic grant allocation. Designed entities and simulation results were compared with the real network behaviour at the end of the practical part. Designed entities were also used to design a lab exercise in the attachment. KEYWORDS NG-PON2, OPNET Modeler, grant allocation CYMOREK, Patrik Implementace standardu NG-PON2 do OPNET Modeleru: diplomová práce. Brno: Vysoké učení technické v Brně, Fakulta elektrotechniky a komunikačních technologií, Ústav telekomunikací, s. Vedoucí práce byl Ing. Tomáš Horváth

5 PROHLÁŠENÍ Prohlašuji, že svou diplomovou práci na téma Implementace standardu NG-PON2 do OPNET Modeleru jsem vypracoval(a) samostatně pod vedením vedoucího diplomové práce a s použitím odborné literatury a dalších informačních zdrojů, které jsou všechny citovány v práci a uvedeny v seznamu literatury na konci práce. Jako autor(ka) uvedené diplomové práce dále prohlašuji, že v souvislosti s vytvořením této diplomové práce jsem neporušil(a) autorská práva třetích osob, zejména jsem nezasáhl(a) nedovoleným způsobem do cizích autorských práv osobnostních a/nebo majetkových a jsem si plně vědom(a) následků porušení ustanovení S 11 a následujících autorského zákona č. 121/2000 Sb., o právu autorském, o právech souvisejících s právem autorským a o změně některých zákonů (autorský zákon), ve znění pozdějších předpisů, včetně možných trestněprávních důsledků vyplývajících z ustanovení části druhé, hlavy VI. díl 4 Trestního zákoníku č. 40/2009 Sb. Brno podpis autora(-ky)

6 PODĚKOVÁNÍ Rád bych poděkoval vedoucímu diplomové práce panu Ing. Tomáši Horváthovi za odborné vedení, konzultace, trpělivost a podnětné návrhy k práci. Brno podpis autora(-ky)

7 Faculty of Electrical Engineering and Communication Brno University of Technology Purkynova 118, CZ Brno Czech Republic PODĚKOVÁNÍ Výzkum popsaný v této diplomové práci byl realizován v laboratořích podpořených z projektu SIX; registrační číslo CZ.1.05/2.1.00/ , operační program Výzkum a vývoj pro inovace. Brno podpis autora(-ky)

8 OBSAH Úvod 12 1 Optické sítě Skladba optických sítí Vývoj standardů NG-PON TWDM architektura Downstream Upstream Konvergenční vrstva NG-PON Servisní adaptační podvrstva Rámcová podvrstva Fyzická adaptační podvrstva Zapouzdřování dat sestupný směr Struktura XGEM rámce Rámec výplně Mapování Ethernetu Sestupný FS rámec Řízení přístupu k médiu BWmap Zapouzdřování dat vzestupný směr Vzestupný FS burst Vztah vzestupného fyzického rámce a burstu Celkový pohled na komunikaci v NG-PON Příklad komunikace v NG-PON Přidělování grantů Statické přidělování Dynamické přidělování DBA metody Abstrakce DBA Referenční model DBA GigaPON Access Network DBA Max-Min Fair DBA pro XG-PON

9 4 Implementace NG-PON2 standardu OPNET Modeler Tvorba entit simulace Datové jednotky Linka Multiplexer a splitter OLT ONU Simulace přidělování grantů Scénář 1 statické přidělování grantů na jedné λ Scénář 2 statické přidělování grantů všemi kanálovými zakončeními Scénář 3 dynamické přidělování grantů Scénář 4 dynamické přidělování grantů s navrženou modifikací Scénář 5 vliv dělicího poměru na časovou náročnost simulace Scénář 6 a 6a vliv aktivních splitterů na výsledky simulací Shrnutí výsledků simulací Srovnání entit a reality Nesrovnalosti při práci s modelerem Návrh úlohy s využitím navržených entit 57 6 Závěr 60 Literatura 61 Seznam symbolů, veličin a zkratek 64 Seznam příloh 66 A Přidělování grantů v sítích standardu NG-PON2 67 A.1 Cíle úlohy A.2 Úvod A.3 Pracovní postup B Obsah přiloženého CD 81

10 SEZNAM OBRÁZKŮ 2.1 Využívané vlnové délky kompatibilních standardů [1] [4] Downstream dělení dle XGEM Portu [9] Upstream dělení dle Alloc-ID [9] Tvorba rámce v sestupném směru [9] Formát XGEM rámce a polí jeho hlavičky [9] Přenos Ethernet rámce v XGEM rámci [9] [10] Formát sestupného rámce a polí jeho hlavičky [9] Koncept přidělování burstů pomocí BWmap [9] Formát položky BWmap a jednotlivých alokačních struktur [9] Grafické zobrazení parametru StartTime a GrantSize [9] Tvorba burstu ve vzestupném směru [9] Formát FS burstu [9] Vzestupný PHY rámec a PHY burst [9] Vzestupný fyzický synchronizační blok [9] Komplexní pohled na komunikaci [6] Základní topologie pro detailní popis DBA abstrakce pro TWDM kanál [9] Přidělená šířka pásma s ohledem na nabídnuté zatížení [9] Podoba jednotky BWmap v OPNET Modeleru Navržený splitter s dělicím poměrem 1: Procesní model generátoru Uzlový model ONU jednotky Procesní model ONU procesoru Navržená topologie Statické přidělování grantů v rámci scénáře Paralelní přenos díky užití různých λ Graf dynamického přidělování grantů Graf dynamického přidělování grantů s modifikací Výsledný graf scénáře Graf scénáře Static Graf scénáře Dynamic Graf scénáře Dynamic s modifikací A.1 Využití 4 λ na jednom vláknu A.2 Tvorba rámce v sestupném směru A.3 Formát XGEM rámce a polí jeho hlavičky A.4 Formát položky BWmap a jednotlivých alokačních struktur A.5 Komplexní pohled na komunikaci

11 A.6 Základní topologie pro detailní popis A.7 Topologie úlohy A.8 Výsledný graf scénáře Static

12 SEZNAM TABULEK 1.1 Vlastnosti standardů vydaných ITU-T [3] Vlastnosti kanálů definovaných v NG-PON2 [8] [9] Velikosti sestupných FS rámců [9] Popis parametrů užívaných v GIANT DBA [2] [13] Nastavení atributů pro kanálové zakončení OLT_CT_ Nastavení kanálových zakončení a přiřazení ONU jednotek pro scénář Hodnoty získané provedením simulací ve scénáři A.1 Nastavení linky mux splitter A.2 Nastavení linky OLT 1 mux A.3 Nastavení linky splitter ONU A.4 Nastavení pro OLT A.5 Nastavení pro multiplexer A.6 Nastavení atributu ONU-ID uzlů ONU 1 až ONU A.7 Nastavení pro OLT A.8 Nastavení atributu Seed pro jednotky ONU

13 ÚVOD Optické sítě na sebe v posledních letech přitahují velkou pozornost, kdy hlavním důvodem, proč jsou sítě budovány, je nárůst požadavků koncových zákazníků a jejich počtu. Mezi hlavní důvody vzniku těchto sítí a tedy i jejich výhody patří dosah, spolehlivost a možnost připojení velkého počtu koncových zákazníků. V této práci jsou zprvu popsány druhy optických sítí a jejich struktury, kdy je důraz kladen na sítě Point to Multipoint realizované v pasivním provedení, které patří k nejrozšířenějším v oblasti přístupových sítí. Dále je nastíněna skladba sítí a trend vývoje standardů společnosti ITU-T s odkazem na předchozí práci autora, která se této problematice více věnovala. Jádrem teoretické části této práce je popis nejnovějšího standardu NG-PON2. V úvodu kapitoly jsou nejvíce nastíněny novinky a změny oproti předchozím standardům. Srdcem NG-PON2 je konvergenční vrstva, jejíž popisu je věnována výrazná část kapitoly, a to nejen z hlediska popisu jejích podvrstev, ale zejména jsou popsány základní jednotky, se kterými se v této vrstvě pracuje. V kapitole jsou postupně popsány principy přenosu a to jak ve směru sestupném, který je doplněn textem věnujícím se problematice řízení přístupu k médiu, tak ve směru vzestupném. Poslední částí kapitoly se věnuje komplexnímu pohledu na komunikaci a propojení získaných znalostí. Již zmíněný text věnující se přístupu k médiu je základem pro následující kapitolu. V té je obsažen popis principu statického a dynamického přidělování grantů, kdy se větší část kapitoly věnuje problematice dynamického přidělování. Je uveden referenční model dynamického přidělování a také reálně používané algoritmy GIANT a Max-Min Fair. Praktická část této práce se věnuje statickému a dynamickému přidělování grantů. Pro simulaci těchto druhů přidělování je použit diskrétní síťový simulátor OPNET Modeler verze V rámci kapitoly je zahrnut krátký popis užitého softwaru, postup vytvoření simulované sítě a výsledky, kterých bylo dosaženo. Důležitou částí práce je srovnání provedení a výsledků simulace s reálnými parametry. Příloha obsahuje návrh úlohy, která využívá vytvořených entit a může být využita při laboratorních cvičeních některého z kurzů věnujících se problematice optických sítí. 12

14 1 OPTICKÉ SÍTĚ Optické sítě jsou budovány za účelem poskytnutí vysokorychlostního připojení. Požadavky koncových uživatelů (účastníků) se mohou lišit, proto jsou sítě budovány ve dvou typech: AON (Active Optical Network) aktivní optická síť. PON (Passive Optical Network) pasivní optická síť. Pro stavbu optických sítí jsou využívány struktury: P2P (Point-to-Point) existuje přímé spojení mezi ústředím (Central Office) poskytovatele a zařízením koncového účastníka. P2MP (Point-to-Multipoint) koncoví účastníci sdílí společné médium. S ohledem na údržbu, cenu zřízení i provozu, návratnost investic aj. jsou rozšířenějšími sítě pasivního charakteru se strukturou P2MP. Sítě struktury P2P jsou využívány především v situacích, kdy je koncovým zákazníkem požadována velká šířka pásma a vyšší úroveň bezpečnosti [4]. 1.1 Skladba optických sítí Při konstrukci optických sítí je hlavní myšlenkou dovést optické vlákno co nejblíže ke koncovému účastníkovi. Přístupové sítě jsou budovány architekturou zvanou FTTx (Fibre to the x), kdy x označuje místo mezi optickou částí sítě zvanou ODN (Optical Distribution Network) a zejména metalickou částí sítě na straně koncového zákazníka. Hlavními jednotkami optické sítě jsou: OLT (Optical Line Terminal) Optické linkové zařízení představuje koncový bod (endpoint) ze strany poskytovatele, má funkci síťového rozhraní mezi přístupovou sítí a sítí poskytující telekomunikační služby. Poskytuje také možnost správy a dohledu nad sítí. ONU (Optical Network Unit) Optická síťová jednotka rozhraní mezi optickou (přístupovou) a metalickou (lokální) částí sítě, dochází v ní k transformaci příchozího optického signálu na signál elektrický. Používanými jednotkami v ODN jsou aktivní prvky (směrovače, přepínače) nebo rozbočovače dle typu sítě. Síťové jednotky ONU mohou být označovány za ONT (Optical Network Terminal) Optické síťové zakončení, v případě, kdy koncový uživatel využívající tuto jednotku je pouze jeden [4]. 13

15 Nezapomenutelnou součástí optických sítí je také přenosové médium optické vlákno, díky němuž mohou být koncoví uživatelé připojeni vysokými přenosovými rychlostmi. V rámci optických sítí se využívají vlastnosti, jako například: velká šířka pásma, velmi malý útlum, relativně stabilní jitter, odolnost vůči elektromagnetickému rušení. Největší výhodou díky níž jsou optická vlákna označována jako přenosové médium s nekonečnou šířkou pásma je možnost využití technologie WDM (Wavelength Division Multiplex). 1.2 Vývoj standardů Od 70. let 19. století, kdy docházelo k prvním pokusům o optický přenos již uběhly desítky let. Mnoho let trvalo, než se výzkum optické technologie vyvinul natolik, aby mohlo být optických přenosů využito v komerční sféře. Prvním standardem pro optické přenosy byl v roce 1998 standard APON (ATM Passive Optical Network), který byl odrazovým můstkem pro mnoho standardů následujících. Největšími vydavateli standardů jsou společnosti ITU-T (International Telecommunication Union Telecommunication Standardization Sector) a IEEE (Institute of Electrical and Electronics Engineers). V následující tabulce 1.1 jsou stručně uvedeny parametry standardů vydaných společností ITU-T [4]. Tab. 1.1: Vlastnosti standardů vydaných ITU-T [3]. APON BPON GPON XG-PON NG-PON2 Sym. rychlost [Gbit/s] 0,155 0,62 2,5 2,5 10 Asym. rychlost [Gbit/s] 0,62/0,155 1,2/0,155 2,5/1,2 10/2,5 10/2,5 (Downstream/Upstream) 1,2/0,622 2,5/2,5 Maximální počet stanic Maximální dosah [km] Z uvedené tabulky je patrné, jak se s pokrokem nejen v oblasti optických technologií zvyšovaly požadavky nejen na přenosovou rychlost, počet stanic v síti ale i na dosah sítě. Institut IEEE vydal v minulosti standardy s názvy EPON (Ethernet PON) a 10G-EPON (10 Gigabit Ethernet PON), jejichž parametry jsou odpovídající stan- 14

16 dardům GPON (Gigabit PON) a XG-PON (10 Gigabit PON). Zde je ovšem využíváno technologie Ethernetu. S vývojem NG-PON2 (Next-Generation Passive Optical Network 2) přichází institut IEEE také se standardem, který se bude svými parametry nejen podobat. Již schválený standard NG-EPON (Next Generation of Ethernet Passive Optical Networking) známý také pod označením P802.3ca má předstihnout dobu a dokázat uspokojit požadavky koncových zákazníků na několik let dopředu. Standard by měl být schopen poskytnout koncovým zákazníkům připojení se symetrickými a asymetrickými rychlostmi 25, 50 a 100 Gbit/s. Standard má být stejně jako NG-PON2 zpětně kompatibilní [11]. 15

17 2 NG-PON2 Nejnovější standard známý pod sérií doporučení označených ITU-T G.989 vydaný v roce 2015 nese označení NG-PON2. Novinkou oproti již vydaným standardům je využití čtyř OLT CT (kanálová zakončení) v ústředí poskytovatele pracujících paralelně díky WDM na stejném přenosovém médiu. Není nutno vlastnit nebo mít aktivní všechna OLT CT, což umožňuje mimo jiné šetřit energii v době nízkého provozu (např. noční provoz). Také je možno síť rozšiřovat systémem pay as you grow, kdy jsou OLT CT pořizována s rostoucí sítí [7]. V NG-PON2 je také využíváno laditelných laserů a filtrů v jednotkách OLT a ONU, které jsou díky těmto komponentům nazývány jako colorless, tedy bezbarvé, jelikož nemají pevně přiřazenu λ [14]. Užitím těchto jednotek je umožněna migrace koncových stanic mezi jednotlivými vlnovými délkami, je tedy umožněno dynamicky reagovat na změny v síti či seskupovat uživatele dle daných parametrů [10]. NG-PON2 je stejně jako jeho předchůdce XG-PON zpětně kompatibilní, proto může při případném přechodu zůstat přístupová síť nedotčena, je nutno pouze zaměnit nebo dodat jednotky OLT a ONU. Společně s NG-PON2 je možno provozovat sítě standardu GPON a XG-PON na společném médiu díky rozdílným vlnovým délkám, čímž nedochází ke kolizím [16]. Rozdělení využívaných vlnových délek uvedených standardů ilustruje obr. 2.1 NG-PON2 (US) G & XG-PON (US) G-PON (DS) Video XG-PON (DS) NG-PON2 (DS) 1,26 1,28 1,36 1,48 1,50 1,524 1,544 1,55 1,56 1,575 1,58 1,596 1,602 λ [µm] Obr. 2.1: Využívané vlnové délky kompatibilních standardů [1] [4]. Společnost Calix Inc. dodávající zařízení a software pro poskytovatele připojení ve svých laboratořích vytvořila model sítě, kdy byly na jediné optické vlákno multiplexovány přenosy ve standardech GPON, XG-PON a NG-PON2 a bylo v součtu sestupné a vzestupné komunikace dosaženo rychlosti 100 Gbit/s [3]. 16

18 2.1 TWDM architektura Vlnové dělení v dané architektuře je reprezentováno pomocí různých kanálových zakončení (CT), kdy každé z těchto zakončení je označeno a vázáno na využívání vlnové délky pro daný kanál, jak je uvedeno v tab. 2.1 (uvedené frekvence jsou dány standardem, hodnoty vlnových délek jsou pouze informativní). Na každý z kanálů jsou následně aplikovány principy časového dělení (TDM) a souvisejícího časového přístupu (TDMA). Tab. 2.1: Vlastnosti kanálů definovaných v NG-PON2 [8] [9]. Kanál Frekvence [THz] Vlnová délka [nm] ID kanálu 1 187,8 1596, ,7 1597, ,6 1598, ,5 1598, ,4 1599, ,3 1600, ,2 1601, ,1 1602, Pro správnou funkci je v rámci architektury využíváno mnoha identifikátorů, mezi nejdůležitější a dále zmíněné patří: ONU-ID označení jednotky ONU o délce 10 bitů přiřazené v průběhu aktivace jednotky k OLT CT. Identifikátor je unikátní v rámci ODN a jeho veškeré předchozí hodnoty jsou vymazány. Alloc-ID jedná se o 14-ti bitové číslo unikátní v rámci ODN přidělené OLT jednotkou, definující příjemce dat v upstreamu pro danou ONU. Ve fázi registrace obdrží ONU informaci o základním Alloc-ID, kde bude směřována její komunikace, následně mohou být v případě nutnosti přiděleny další. Základní Alloc-ID odpovídá číselnou hodnotou ONU-ID. XGEM Port-ID jednotlivá logická spojení jsou označována tímto 16-ti bitovým identifikátorem, který ve své základní hodnotě odpovídá ONU-ID. Základní hodnota identifikátoru je také shodná s OMCC (ONU Management and Control Channel), což je kanál využívaný pro řízení ONU jednotek. Zmíněným kanálem dochází také k přidělování dalších XGEM Port-ID je-li jich pro dané ONU potřeba. XGEM Port-ID bývá označováno zkráceně také jako XGEM Port. 17

19 Alloc - ID Alloc - ID Downstream Princip přenosu dat v sestupném směru je zobrazen na obr. 2.2, kdy jsou z OLT vysílány rámce o délce 125 µs. Jednotky OLT multiplexují XGEM rámce na optické vlákno využívajíce XGEM Port-ID jako klíč pro identifikaci, pro kterou ONU je daný rámec vyslán. Ve splitterech dochází k vydělení příchozího optického signálu na všechny výchozí porty. Jednotky ONU filtrují pro ně určenou komunikaci na základě XGEM Port-ID, ostatní příchozí rámce jsou zahozeny. Multicastová komunikace může být přijímána více jednotkami současně. XGEM Port XGEM Port ONU OLT CT XGEM Port XGEM Port ONU Obr. 2.2: Downstream dělení dle XGEM Portu [9] Upstream Pro vysílání ve směru vzestupném přiděluje jednotkám ONU časové intervaly pro vysílání jednotka OLT. Přidělování intervalů může probíhat staticky nebo dynamicky. Logický příjemce, kterému je směřována vzestupná komunikace je identifikován alokačním ID (Alloc-ID). Pro přiřazení rámců k různým logickým spojením využívají jednotky ONU jako identifikátor XGEM Port-ID. Zmíněný princip adresace je možno vidět na obr. 2.3 XGEM Port XGEM Port ONU OLT CT XGEM Port XGEM Port ONU Obr. 2.3: Upstream dělení dle Alloc-ID [9]. 18

20 2.2 Konvergenční vrstva NG-PON Servisní adaptační podvrstva Hlavním úkolem této podvrstvy v sestupném směru je zapouzdřování jednotek přicházejících z vyšších vrstev a jejich multiplexování. Důležitou funkcí je také značení rámců odpovídajícími XGEM Port-ID pro určení adresáta komunikace. Volitelně je prováděno také šifrování a fragmentace [10]. Ve vzestupném směru komunikace dochází k příjmu jednotek z nižších podvrstev, jejich filtrování na základě XGEM Port-ID, dešifrování a složení fragmentů jednotek vyšších vrstev Rámcová podvrstva Hlavními úkoly této podvrstvy je konstrukce a analýza záhlaví (v závislosti na směru) nutného pro správnou funkci PON. Dochází zde ke konstrukci FS rámců (z jednoho nebo více XGEM rámců) v sestupném směru a tvorbě FS burstů ve směru vzestupném Fyzická adaptační podvrstva Název této vrstvy přesně odpovídá funkci, kterou vykonává. V rámci této vrstvy dochází k operacím jako vymezení a synchronizaci signálu přenášeného po optickém médiu. V sestupném směru je prováděno FEC (Forward Error Correction) kódování, prokládání, předřazení synchronizačního bloku. Přijímač provádí zpětné operace prováděné ve vysílači. 2.3 Zapouzdřování dat sestupný směr Stejně jako je tomu u všech ostatních technologií pracujících na principu TCP/IP (Transmission Control Protocol/Internet Protocol) protokolu, také v optických sítích dochází ke specifické implementaci vrstvy síťového rozhraní tohoto modelu. V TWDM systému je zapouzdřování prováděno na úrovni servisní adaptační podvrstvy, kdy se příchozí SDU (Service Data Unit) zapouzdřují do XGEM rámců ze kterých je vytvořen FS (Framing Sublayer) payload neboli datová část rámcové podvrstvy, která přidá svá řídící data ve formě záhlaví a patičky. Vzniklý FS rámec je následně připraven fyzickou adaptační podvrstvou na samotný přenos. Výše popsaný proces je zobrazen na obr

21 Fyzická adaptační podvrstva Rámcová podvrstva Servisní adaptační podvrstva SDU SDU SDU SDU SDU SDU fragment H XGEM XGEM datová oblast H datová oblast.. H XGEM rámec XGEM datová oblast FS datová část FS datová část FS záhlaví FS datová část FS patička FS rámec FS rámec FEC data P FEC data P FEC data P FEC data P FEC kódové slovo PSBd PHY datová část Bity na fyzické vrstvě H - XGEM záhlaví P - FEC parita PHY rámec 125 µs Obr. 2.4: Tvorba rámce v sestupném směru [9] Struktura XGEM rámce Základní jednotkou NGPON-2 je XGEM rámec, který je shodný s rámcem XG-PON (G.987) a neměnný nezávisle na směru přenosu. Skládá z fixního záhlaví (header) o délce 8B a datového pole (payload) o proměnlivé délce. Podoba rámce je zobrazena na obr Záhlaví (header) Datová oblast (payload) PLI 14b Key Index 2b XGEM Port-ID 16b Options 18b LF 1b HEC 13b Obr. 2.5: Formát XGEM rámce a polí jeho hlavičky [9]. 20

22 Záhlaví Jelikož se jedná o záhlaví, je funkce a délka každého z polí předem definovaná. Pole obsažená v záhlaví: Payload length indication (PLI) [14b] pole udávající délku L datové části XGEM rámce. Pole je dostatečně velké na zanesení délky rozšířených (až 2000B) i jumbo (až 9000B) ethernet rámců. Hodnota nemusí přesně odpovídat délce datové části, jelikož ten je doplňován na 4B délku. Key index [2b] indikátor, zda je na datové části užito šifrování. V závislosti na XGEM Portu, je užito buď unicast nebo všesměrového typu klíče, současně existují až 2 možnosti každého typu: datová část přenášena bez užití šifrování, datová část šifrována prvním klíčem daného typu, šifrování provedeno druhým klíčem odpovídajícího typu, hodnota je rezervována pro budoucí využití, pokud pole obsahuje tuto hodnotu, je rámec zahozen. XGEM port-id [16b] identifikátor XGEM portu, kterému je rámec určen. Options [18b] pole určeno pro budoucí využití, vysílačem nastaveno na hodnotu 0x00000 a ignorováno přijímačem. Last fragment (LF) [1b] pole indikující celé SDU nebo její poslední fragment, kdy v tomto případě je pole hodnoty 1. Hodnota 0 naznačuje že se jedná o jeden z fragmentů celého SDU, přenášený není ovšem posledním. Hybrid error correction (HEC) [13b] pole užívané pro detekci a korekci chyb při přenosu využívající kombinaci kódu BCH(63, 12, 2) pracujícího nad prvními 63b záhlaví a paritního bitu. Datová oblast Velikost této části rámce je proměnlivá a je řízena polem PLI záhlaví. Pokud se nejedná o rámec výplně, souvisí jeho délka v bitech s délkou L, a to [9]: P = 4 * L 4, L 8 8, 0 < L < 8 0, L = 0 (2.1) Datová část může obsahovat až 7B výplně, kdy každý bajt výplně má formát 0x55. Tato výplň je na straně přijímače zahozena. 21

23 2.3.2 Rámec výplně Idle frame neboli rámec výplně je generován a využíván v případech, kdy vysílač nemá žádné SDU (SDU fragmenty) k odeslání nebo velikost SDU či jejího fragmentu vyčerpala možnosti FS datové části a není možná fragmentace. Výplňový rámec se strukturou neliší od standardních rámců, parametrem udávajícím tento rámec je hodnota pole XGEM Port-ID rovna 0xFFFF. Některé z dalších vlastností: Pole PLI může nabývat hodnot, které jsou násobkem 4 (včetně 0) až po maximální velikost podporované SDU, není prováděno šifrování (Key Index = 00), hodnota pole LF=1. Jelikož rámec neobsahuje žádná relevantní data, přijímač ignoruje Key Index, LF a celou datovou část těchto rámců. Při situaci, kdy není na konci FS datové části možno vyslat hlavičku výplňového rámce (zbývající prostor má délku 4B), vysílač vyšle tzv. krátký výplňový rámec, který se skládá ze čtyř nulových bajtů. OLT vysílač nezakončuje FS datovou část krátkým výplňovým rámcem z důvodu zpětné kompatibility s ONU podporující pouze XG-PON standard [10] Mapování Ethernetu Jak již bylo zmíněno, použitím jiných technologií média je nutno provést konverzi rámců daných technologií v místě přechodu. Nejčastějším případem je konverze ethernetových rámců na XGEM rámce. Průběh konverze je možno vidět na obr. 2.6, kde dochází ke vložení relevantních informací a dat do datové části XGEM rámce a případné přidání výplně. Ethernet Mezera mezi pakety Preambule Oddělovač začátku rámce MAC cíle MAC zdroje Délka/typ Datová oblast XGEM rámec PLI XGEM Port-ID Options LF HEC Datová oblast KI CRC Výplň Obr. 2.6: Přenos Ethernet rámce v XGEM rámci [9] [10]. 22

24 Uvedenou konverzi je možno provést v poměru 1:1 (1 ethernetový rámec do odpovídajícího XGEM rámce) nebo pokud není toto možno je provedena konverze v poměru 1:X, kdy X>1. Konverze více ethernetových rámců do jediného XGEM rámce není povolena Sestupný FS rámec FS rámec sestavený na úrovni rámcové podvrstvy je vázán svou velikostí s rychlostí přenosu a také na přítomnosti FEC. Výsledné velikosti rámců s ohledem na rychlost přenosu a stav FEC je uvedena v tab Tab. 2.2: Velikosti sestupných FS rámců [9]. Přenosová rychlost [Gbit/s] 9, ,48832 Velikost PHY rámce [B] Velikost rámce - FEC zapnuto [B] Velikost rámce - FEC vypnuto [B] Na obr. 2.7 je možno vidět podobu FS rámce, který se skládá ze záhlaví, datové části a patičky. Sestupný FS rámec FS záhlaví FS datová část FS patička Hlend BWmap PLOAMd BIP BWmap length 11b PLOAM counter 8b HEC 13b Obr. 2.7: Formát sestupného rámce a polí jeho hlavičky [9]. 23

25 Z uvedeného obr. 2.7 je patrné, že fixní délku z polí hlavičky má pouze struktura Hlend, ostatní pole hlavičky a datové části mají proměnnou délku. Jediné pole patičky BIP má také fixní délku [10]. Záhlaví BWmap length [11b] pole obsahující celé číslo indikující počet alokačních struktur v poli BWmap. PLOAM count [8b] celočíselný indikátor počtu PLOAM zpráv v poli PLO- AMd. HEC [13b] pole pro detekci a korekci chyb ve struktuře Hlend využívající kombinaci zkráceného BCH(63, 12, 2) kódu pracujícího nad prvními 31 bity struktury Hlend a jediného paritního bitu. BWmap pole délky N 8B, kdy N je udáno v poli BWmap length. Více v kap PLOAMd pole o proměnlivé délce, která má hodnotu P 48B, kdy P je udáno v poli PLOAM count. Patička BIP [4B] pole obsahuje výsledek bitově prokládané sudé parity počítané nad celým FS rámcem. ONU z hodnoty vypočítává chybovost BER (Bit Error Rate) linky. Pokud je aktivní FEC není možno chybovost získat z BIP ale je využito výsledků z výsledků korekce FEC. 2.4 Řízení přístupu k médiu Řízení přístupu k médiu ve směru vzestupném je nejen v rámci standardu NG-PON2 centralizovanou záležitostí. Centrální jednotkou je zde jako celek OLT, přičemž jednotlivá kanálová zakončení řídí přístup jednotek ONU, které se nachází na jim definované vlnové délce. Základní koncept přiřazování vzájemně se nepřekrývajících časových intervalů vysílání v upstreamu je zobrazen na obr. 2.8, kde je možno vidět, že s každým fyzickým rámcem v sestupném směru, je vysílána tzv. BWmap, tedy zpráva obsahující informace o možnosti vysílání pro každou jednotku ONU. Perioda vysílání fyzického rámce v sestupném směru je 125 µs [17]. Z uvedeného obr. 2.8 je patrné, že čas přijetí rámců jednotkami ONU se liší, což je způsobeno rozdílnou délkou vláken mezi OLT a ONU. Aby bylo dosaženo časové synchronizace mezi OLT a ONU jednotkami, je v rámci přiřazení jednotky vypočítáno individuální zpoždění přenosu pro každé koncové zařízení, se kterým je následně počítáno. 24

26 OLT CT Sestupný PHY rámec BWmap Vzestupný PHY rámec Alloc ID 001 Start time Grant size Alloc ID 010 Start time Grant size Alloc ID 011 Start Grant time size ONU 010 Alloc-ID 010 Alloc-ID 011 ONU 001 Alloc-ID 001 Burst ONU 010 Burst ONU 001 Obr. 2.8: Koncept přidělování burstů pomocí BWmap [9] BWmap Řízení přístupu k médiu je distribuováno pomocí BWmap, tedy položky záhlaví přidávaného rámcovou podvrstvou. Velikost položky je proměnlivá a je dána součinem počtu dílčích alokačních struktur této položky a jejich fixní délky 8B. Složení kompletní BWmapy z dílčích struktur, které jsou shodné se strukturami standardu G.987 a jejich obsah je možno vidět na obr. 2.9 [10]. BWmap N x 8B Alokační struktura 1 8B Alokační struktura 2 8B.... Alokační struktura N 8B Alloc-ID 14b Flags 2b StartTime 16b GrantSize 16b FWI 1b Burst Profile 2b HEC 13b DBRu 1b PLOAMu 1b Obr. 2.9: Formát položky BWmap a jednotlivých alokačních struktur [9]. 25

27 Každá z uvedených dílčích struktur obsahuje informace o alokaci pro konkrétní Alloc-ID. Význam jednotlivých polí struktur [9]: Alloc-ID [14b] pole identifikující příjemce alokované šířky pásma. Flags [2b] pole obsahuje 2 samostatné identifikátory: 1. DBRu pokud je tento bit nastaven, je odeslán report pro dané Alloc-ID. 2. PLOAMu pokud je tento bit nastaven v první alokační struktuře pro dané Alloc-ID, záhlaví vzestupného burstu by mělo mít délku 52B a měla by v něm být přenesena zpráva PLOAM (Physical Layer OAM). Pokud bit není nastaven, délka záhlaví ve vzestupném směru by měla být 4B, přičemž PLOAM není přenášena. Následující alokační struktury by měly mít tento bit nastaven na 0 a tento identifikátor je přijímačem ignorován. StartTime [16b] pole indikující časovou informaci, kdy má být přenášen první bajt vzestupného burstu v rámci fyzického rámce, přičemž čas je měřen od počátku vzestupného fyzického rámce, kdy celkový časový úsek je rozdělen na 9720 stejnoměrných intervalů. V poli je očekávána celočíselná hodnota 0 až Pokud alokace využívá více struktur, pouze první v tomto poli obsahuje relevantní informaci, ostatní jsou nastaveny na hodnotu 0xFFFF. Grafické zobrazení parametru StartTime a následujícího parametru GrantSize je na obr GrantSize A GrantSize B FS záhlaví Alokace A Alokace B FS patička Rámcová podvrstva FS burst PHY adaptační podvrstva PSBu FEC-chráněná data StartTime Začátek vzestupného PHY rámce Obr. 2.10: Grafické zobrazení parametru StartTime a GrantSize [9]. 26

28 GrantSize [16b] pole indikující kombinovanou délku datové části na úrovni rámcové podvrstvy spolu s DBRu vysílaným v dané alokaci [10]. Udané celočíselné číslo neobsahuje velikost záhlaví, zápatí ani FEC. Rozlišení tohoto pole je závislé na přenosové rychlosti ONU, kdy pro ONU s rychlostí 2,48832 Gb/s je velikost pole svázána s bloky o velikosti 4B a pro ONU s rychlostí 9,95328 Gb/s je velikost vázána na bloky o velikosti 16B. Velikost pole je nastavena na 0 při grantech určených pouze pro vyslání PLOAM zprávy nebo při procesu aktivace jednotky - grant přiřazení a grant sériového čísla. Minimální velikost pole pro ONU s přenosovou rychlostí 2,48832 Gb/s je: 1 (4B), kdy je přenášeno pouze DBRu, 4 (16B), kdy jsou přenášena řádná data (DBRu nepřenášeno). Minimální velikost pole pro ONU s přenosovou rychlostí 9,95328 Gb/s je 1 a to jak v případě přenosu DBRu (DBRu 4B, následované 12B výplní) nebo v případě minimální alokace 16B. FWI [1b] jelikož jednotky ONU mohou podporovat režim úspory energie, nastavení tohoto pole na úroveň 1 způsobí urychlené probuzení této jednotky, která šetřila energii. Pokud je požadováno, je tento bit nastaven v první alokační struktuře pro danou ONU, v ostatních alokačních strukturách není tento bit již kontrolován a je po příjmu ignorován. BurstProfile [2b] v rámci tohoto pole je přenášen index profilu, který udává jakým typ burstu má být fyzickou adaptační vrstvou ONU jednotky vytvořen. Jaký typ burstu odpovídá jakému indexu je jednotce ONU sděleno PLOAM komunikačním kanálem. HEC [13b] úkolem pole je detekce a oprava chyb pracující na kombinaci BCH(63, 12, 2) kódu pracujícího s prvními 63 bity alokační struktury a jediného paritního bitu. 2.5 Zapouzdřování dat vzestupný směr Obdobně jako ve směru sestupném jsou data vyšších vrstev na úrovni 2. vrstvy TCP/IP zapouzdřována třemi podvrstvami zmíněnými v kap Změnou oproti sestupnému směru je množství jednotek přistupujících k médiu, což má za následek realizaci přenosu dat pomocí burstů jednotlivých jednotek v nepřekrývajících se časových intervalech. Rozdílná tvorba vzestupného burstu oproti sestupnému rámci je viditelná na obr na úrovni rámcové podvrstvy. 27

29 Fyzická adaptační podvrstva Rámcová podvrstva Servisní adaptační podvrstva SDU SDU SDU SDU SDU SDU SDU SDU fragment XGEM H datová oblast.. XGEM H datová oblast XGEM H datová oblast.. XGEM H datová oblast XGEM rámec XGEM rámec FS datová část FS datová část FS datová část FS datová část FS AO záhlaví FS datová část AO FS datová část FS patička Alokace Alokace FS burst FS burst FEC data P FEC data P FEC data P FEC data P FEC kódové slovo PSBu PHY datová část Bity na fyzické vrstvě PHY burst H - XGEM záhlaví AO - alokační záhlaví P - FEC parita Obr. 2.11: Tvorba burstu ve vzestupném směru [9] Vzestupný FS burst Vzestupný burst má oproti sestupnému rámci proměnlivou délku a sestává se ze záhlaví, jednoho nebo více přiřazených vysílacích intervalů (grantů), které jsou spojovány se specifickými Alloc-ID, a patičky. Způsob přidělení grantů a jejich počet jsou popsány v kap. 2.4, respektive Složení FS burstu je na obr Záhlaví burstu Záhlaví se skládá z fixní sekce o délce 4B a proměnlivé sekce, jíž přítomnost je závislá na nastavení pole PLOAMu v BWmap alokační struktuře v předchozím přijatém rámci. ONU-ID [10b] uvnitř tohoto pole se nachází stejnojmenný identifikátor ONU jednotky přiřazený během aktivace jednotky. 28

30 Ind [9b] nevyžádaná hlášení stavu jednotky ONU jsou přenášena v tomto poli. Význam jednotlivých bitů: Bit 8 pokud je tento bit nastaven, po vyslání aktuálního burstu je ve frontě přítomna jedna nebo více PLOAM zpráv. Prázdná fronta zmíněných zpráv je indikována hodnotou 0. Bity 7 1 rezervovány. Bit 0 nastavení bitu na hodnotu 1 znamená, že jednotka ONU detekovala lokální podmínku která by mohla zapříčinit, že ONU nebude schopno odpovědět na přidělenou alokaci. Indikace může pomoci OLT jednotce určit, zda se jedná o problém vlákna nebo ONU. Pokud je příčina způsobující problém odstraněna nebo vymizí, ONU indikaci zruší a pokračuje v chodu. Pokud OLT získá indikaci v této podobě, neměla by zrušit přiřazování grantů odpovídající jednotce. HEC [13b] pole pro detekci a opravu chyb, kombinuje zkrácený kód BCH(63, 12, 2) pracující nad 31 prvními bity záhlaví a paritní bit. PLOAM(PLOAMu) [0/48B] přítomnost tohoto pole v záhlaví burstu je řízena OLT CT a závisí na nastavení indikátoru PLOAMu první alokační struktury pro danou ONU jednotku. V PLOAMu zprávách jsou přenášeny zprávy o aktivaci a registraci jednotky, řízení výkonu, změně kanálu aj. Přenášena může být pouze jedna zpráva. Alokační záhlaví Pokud je jednotkou OLT vyžádána přítomnost tohoto pole nastavením odpovídajícího identifikátoru, je přítomno DBRu záhlaví o délce 4B které je vázáno k danému Alloc-ID [10]. BufOcc [3B] buffer occupancy neboli naplněnost vyrovnávacích pamětí udává množství SDU provozu pro dané Alloc-ID, které je přítomno ve všech frontách. Uvedené celočíselné číslo je vyjadřováno v blocích o délce 4B, přičemž velikost W jednotlivých SDU o délce L je dána vztahem [9]: W = L 4, L > 8 2, 0 < L 8 (2.2) Uvedená celková hodnota by měla odpovídat množství provozu (včetně provozu odeslaného v daném alokovaném časovém intervalu), které je vázáno s Alloc-ID v době odeslání hlášení. Hodnota 0x udává prázdné fronty, hodnota 0xFFFFFF udává chybné měření. CRC [1B] DBRu je zabezpečeno kódem CRC-8 využívající polynom (g(x) = x 8 +x 2 +x+1). Přijímač provádí použitím stejného polynomu detekci 29

31 a případnou korekci pole, pokud je indikována neopravitelná chyba je DBRu zahozeno. Patička BIP [4B] pole obsahuje výsledek bitově prokládané sudé parity počítané nad celým FS burstem. OLT CT z hodnoty vypočítává chybovost BER (Bit Error Rate) linky. Pokud je aktivní FEC není možno chybovost získat z BIP ale je využito výsledků z výsledků korekce FEC [10]. FS burst Alokace Alokace FS záhlaví DBRu FS datová část DBRu FS datová část FS patička BufOcc 3B CRC 1B ONU-ID 10b Ind 9b HEC 13b PLOAMu 0/48B BIP 4B Obr. 2.12: Formát FS burstu [9] Vztah vzestupného fyzického rámce a burstu Fyzický rámce ve vzestupném směru má shodnou délku s rámcem směru sestupného, tedy 125 µs. OLT jednotka určuje nastavením parametrů StartTime a GrantSize daným komunikujícím ONU jednotkám časové okamžiky, kdy mohou vysílat. Jednotky v jim přidělených intervalech vysílají své bursty, jinak zůstávají nečinné. Celkový fyzický burst se skládá ze dvou částí, a to z fyzického synchronizačního bloku PSBu (Physical Synchronization Block upstream) a FS burstu, na kterém může být provedeno zabezpečení FEC a skramblování. Popsaný burst již bylo možno vidět na obr Jelikož jednotce ONU je oznámen časový okamžik, kdy má vysílat svůj burst nezahrnující PSBu, může dojít k situaci, že první bajt burstu je přenesen v aktuálním vzestupném rámci, ale PSBu (nebo jeho část) již byla přenesena v rámci předchozím. Přenos burstu ONU jednotky může také překročit hranici konce aktuálního rámce (první bajt burst ovšem musí být přenesen v aktuálním rámci) [9]. Uvedené situace jsou zobrazeny na obr

32 PHY burst PHY burst PHY burst OLT ONU i PSBu PSBu PSBu ONU j Vzestupný rámec, 125 µs Vzestupný rámec, 125 µs Obr. 2.13: Vzestupný PHY rámec a PHY burst [9]. PSBu Složení fyzického synchronizačního bloku je možno vidět na obr Funkcí PSBu je možnost přizpůsobení přijímače v jednotce OLT na přijímaný signál. Množina povolených profilů burstu je specifikována jednotkou OLT pomocí Burst_Profile PLOAM zpráv. Přesný profil, který má být použit pro daný burst je zvolen jednotkou OLT nastavením pole BurstProfile v odpovídající alokační struktuře BWmap [9]. PSBu Preambule Oddělovač Obr. 2.14: Vzestupný fyzický synchronizační blok [9]. Doporučená velikost preambule je 20B, kdy výchozí hodnota je 0x AAAA AAAA. Doporučená velikost oddělovače je 4B, v případě vyššího BER může být použit oddělovač o délce 8B. Hodnota oddělovače je závislá na použití FEC. 31

33 2.6 Celkový pohled na komunikaci v NG-PON2 Doposud uvedený text v této kapitole popisuje detailně standard NG-PON2. Ačkoliv jsou popsány jednotlivé stavební bloky, za kterých jsou tvořeny jednotlivé sestupné rámce a vzestupné bursty, nebyl doposud popsán cyklický koncept komunikace. Nejjednodušeji lze koncept komunikace představit pomocí obr Z obrázku je patrné, že sestupný rámec je tvořen tak, jak bylo zmíněno na obr Na obr je zdůrazněna BWmap, tedy část hlavičky přenášející informace týkající se přístupu ke společnému médiu ve směru vzestupném. Druhá část komunikace, tedy vzestupný směr komunikace, je závislá na datech přijatých v sestupném rámci. Začátek fyzického vzestupného rámce není shodný se začátkem rámce sestupného, jelikož přenos informací není okamžitý a informace nejsou doručeny všem ONU jednotkám současně z důvodu rozdílných vzdáleností od OLT. Vzestupný rámec je tvořen nepřekrývajícími se bursty jednotlivý ONU jednotek, kdy přenos burstů bylo možno vidět na obr. 2.8, formát burstu byl uveden na obr a fyzické umístění burstu ve vzestupném rámci na obr BWmap Sestupný rámec Výpočet BWmap Sestupný směr Záhlaví Datová část Vzestupný rámec Vzestupný směr Cyklus N-1 Cyklus N Cyklus N+1 Cyklus N+2 Jednotlivé alokace Obr. 2.15: Komplexní pohled na komunikaci [6]. Vytvoření Bwmap(y) (s ohledem na typ přidělování a příslušný algoritmus, které jsou uvedeny v následující kapitole) není okamžitou záležitostí, proto mohou být přijatá data ze vzestupného směru zpracována v následujícím cyklu nebo cyklech a použita až v cyklu následujícím [6]. 32

34 2.6.1 Příklad komunikace v NG-PON2 Pro detailní popis komunikace mezi OLT a ONU je na obr představena jednoduchá topologie, skládající se pouze z minima prvků nutných pro popis. Situace nepopisuje registraci jednotek, počítá s funkční sítí v operačním stavu, kdy každá jednotka zná všechny své identifikátory. ONU 1 Internet OLT Multiplexer Splitter ONU 2 ONU 3 Obr. 2.16: Základní topologie pro detailní popis. Popis komunikace začíná v jednotce OLT, která přijímá data ze směru veřejného internetu. Data jsou vkládána do XGEM rámců, jejichž podoba je na obr. 2.5, kdy pro určení adresáta je v každém rámci nejdůležitější pole XGEM Port-ID. XGEM Port-ID byly jednotkám ONU přiřazeny v procesu registrace nebo v případě nutnosti později, nyní jsou jednotkou OLT uchovávány v paměti. Pro uvedený příklad platí, že data jsou určena ONU 1 z uvedené topologie, které byly přiřazeny XGEM Port- ID 100 a Po nastavení jednotlivých polí záhlaví XGEM rámce se z uvedeného a dalších rámců stává datová část sestupného FS rámce. Záhlaví, které je nutné pro správnou funkci přidáno bylo uvedeno na obr V záhlaví je uveden počet alokačních struktur Bwmap, které řídí přístup k médiu ve vzestupném směru, počet PLOAMd zpráv, kontrolní pole a následně jednotlivé alokační struktury a PLOAMd zprávy. Pro komunikaci k ONU 1 je vytvořena alokační struktura zobrazená na obr Nejdůležitějšími poli pro příklad jsou: Alloc-ID zde je uložena informace, kterému příjemci má být dané vysílání určeno, pro příklad zvoleno Alloc-ID o hodnotě 100. StartTime definuje počátek fyzického vzestupného rámce, zvolena hodnota 0 (počátek vzestupného rámce). GrantSize definuje velikost burstu, volena hodnota 200. Z vkládaných informací je to vše, fyzická adaptační podvrstva provede rozdělení rámce na kódová slova a přidá paritu, následně vloží PSBd a vzniklý fyzický rámec je poté fyzickou vrstvou odeslán skrze výstupní rozhraní na vlnové délce λ, přiřazené tomuto OLT CT. 33

35 Po odeslání rámce na optické médium, je další uzlem, kde je s rámcem pracováno, multiplexer. Ten nepracuje s daty rámce, pouze multiplexuje daný rámec s ostatními přenášenými rámci na optické vlákno. Třetím uzlem uvedené topologie je splitter, který taktéž nepracuje s daty rámce. Uvnitř splitteru dojde k vydělení příchozího optického signálu na výstupní rozhraní. Posledními uzly, kterými je přijímána veškerá komunikace jsou jednotlivé ONU pracující na λ zmíněného OLT CT. Dále je v příkladu pracováno s ONU 1, která je příjemcem zmíněné odeslané komunikace. ONU nejprve přijme PSBd, provede kontrolu parity a získá FS rámec. Ze záhlaví FS rámce získá informace o počtu alokačních struktur BWmap, PLOAMd zpráv, provede kontrolu a případnou korekci záhlaví. Z alokačních struktur vyfiltruje pouze tu, která je určena pro tuto ONU, tedy tu, která má v poli Alloc-ID uvedenu stejnou hodnotu, jako je hodnota Alloc-ID přiřazená této ONU (100). Z vyfiltrované (vyfiltrovaných) struktur získá informace o přiděleném grantu, v tomto případě tedy informace, že začátek vysílání je v nultém intervalu vzestupného rámce a velikost grantu je 200, což pro uvažovanou přenosovou rychlost 9,95328Gb/s znamená přenos 3200 B (200 16B). Po zisku těchto informací dojde k zahození záhlaví a patičky. Zbylá datová část, skládající se z XGEM rámců, je předána servisní adaptační podvrstvě, která na základě hlavičky jednotlivých XGEM rámců vyfiltruje rámce určené této ONU. Rozhodujícím faktorem je přítomnost hodnoty 100 nebo 1021 v poli XGEM Port-ID jednotlivých rámců. Z vyfiltrovaných rámců je odstraněna hlavička a na základě dat uložených v datové části rámce (většinou ethernetové rámce) jsou data dále směrována, většinou na rozhraní s technologií Ethernet. Ze získaných dat jednotka získala informace potřebné pro vysílání ve směru vzestupném. Jelikož jednotka má definován počátek vysílání v intervalu 0 následujícího vzestupného rámce, dochází v ONU k tvorbě vzestupného burstu. Obdobně jako u OLT dochází k tvorbě XGEM rámců, kde je identifikátorem odesílatele pole XGEM Port-ID. V tomto případě je hodnota tohoto pole nastavována na hodnotu 100 nebo Z vytvořených XGEM rámců vzniká datová část alokace vzestupného burstu, ke které je v případě požadavku ze strany OLT přidáno DBRu. Velikost datové části a případně připojeného pole DBRu má být dle signalizace 3200 B. K alokaci (alokacím) je přidáno záhlaví a patička. V záhlaví nastavuje ONU 1 pole ONU-ID na hodnotu 100, čímž se definuje jako odesílatel burstu. Podoba výsledného burstu byla uvedena na obr Burst je následně proložen FEC paritou a je mu předřazen synchronizační blok PSBu. Vyslání burstu probíhá na λ, která tvoří pár s λ, která je používána OLT CT v sestupném směru a je provedeno tak, aby byl odeslán PSBu a až první bajt proloženého burstu byl odeslán v průběhu intervalu 0 vzestupného fyzického rámce. Po odeslání burstu vysílačem prochází signál vzestupně optickou sítí, kdy je 34

36 splitterem pasivně sloučen na optické vlákno, na kterém mohou probíhat paralelní komunikace s odlišnou λ. Následně je multiplexerem signál dle λ vyfiltrován k OLT CT pracujícímu na této vlnové délce. Po příjmu dat jednotkou OLT je zkontrolováno, zda bylo vysílání odesláno správnou jednotkou ve stanovený časový interval. Popsaná komunikace probíhala mezi jednotkami OLT a ONU 1 uvedené topologie, princip je ovšem stejný pro všechny OLT CT a ONU jednotky, které se liší pouze rozdílnými identifikátory a užitými λ. 35

37 3 PŘIDĚLOVÁNÍ GRANTŮ 3.1 Statické přidělování Nejjednodušším typem přidělování šířky pásma jednotlivým koncovým jednotkám, užívaným ve starších PON, je přidělování na základě staticky nastavených parametrů. Parametry mohou být sice nastavovány dle předem známých požadavků, není ovšem možno docílit efektivity jako u dynamického přidělování. Zásahy do nastavení musejí být provedeny správcem, což je při častějších změnách požadavků velice náročné. Jedná se o neadaptivní přidělování, které není v reálných sítích velice efektivní. Dokud není síť přetížena (šířka pásma pro jednotlivé ONU je dostačující a nedochází k tvorbě front) je teoreticky statické přidělování dostačující. Pokud dojde k situaci, kdy ONU A má přidělenu větší šířku pásma než využije a ONU B jí má nedostatek, není možno na tuto situaci reagovat. Tento typ přidělování není schopen uspokojit požadavky služeb s proměnlivou rychlostí přenosu [6]. 3.2 Dynamické přidělování DBA (Dynamic Bandwidth Assignment) je proces přidělování vzestupné šířky pásma na základě dynamické indikace aktivity jednotky a jí odpovídajících nastavených parametrů. Se zvýšením složitosti přidělování grantů se zvyšují také hardwarové požadavky na odpovídající jednotky, které jsou ovšem při současné technologii zanedbatelné oproti reálné úspoře šířky pásma, tedy zvýšené efektivnosti. Oproti statickému přidělování grantů, DBA vylepšuje využití vzestupné šířky pásma pomocí dynamické reakce dvojnásobně. Poskytovatel může navýšit počet uživatelů sítě díky zvýšené efektivitě využívání šířky pásma a jednotliví uživatelé mohou lépe využívat služeb, které vyžadují proměnlivou přenosovou rychlost, kdy statická alokace by nebyla v tomto případě vhodná a v mnohých případech ani možná [9] DBA metody V závislosti na mechanizmu ONU, v DBA existují 2 metody pro odvození stavu vyrovnávacích pamětí, a to [15] [17]: Status reporting (SR) ONU jednotka po dotazu z OLT vyšle report o obsazenosti svých vyrovnávacích pamětí pro zmíněné Alloc-ID. Traffic monitoring (TM) OLT sleduje, zda rámce vysílané danému Alloc- ID jsou rámce výplně či nikoliv a srovnává získané informace s BWmap(ou). ONU nevysílá reporty o svém stavu, pouze v případě prázdných vyrovnávacích pamětí (žádná relevantní data k odeslání) vysílá rámce výplně. 36

38 Jednotky OLT by měly být schopny podporovat kombinaci SR a TM. Způsob naložení s informacemi odeslanými do jednotek OLT, průběh monitorování a nastavení plánovače úloh odpovědného za generaci rámců informujících o přiřazené šířce pásma jednotlivým jednotkám je ponecháno na výrobci OLT jednotek. Při aktivním reportování, kterého je využíváno častěji, jednotky ONU musejí tuto možnost podporovat a aktivně odpovídat na žádosti OLT. Tato komunikace musí odpovídat specifikaci standardu NG-PON2 [2] [9] Abstrakce DBA V TWDM PON je příjemce vzestupné komunikace reprezentován pomocí Alloc-ID. V rámci každého Alloc-ID nezáleží, kolik XGEM portů je přiřazeno nebo jaká je logická či fyzická struktura ONU, kterému je Alloc-ID přiřazeno. Všechna Alloc-ID jsou brána jako sobě rovná. Popsanou abstrakci zobrazuje obr ONU 1 Alloc-ID A OLT TWDM CT DBA BWmap... Alloc-ID C... ONU N Alloc-ID X... Alloc-ID Z Obr. 3.1: DBA abstrakce pro TWDM kanál [9]. DBA modul umístěný v OLT CT pro každé Alloc-ID odvozuje vytížení sběrem stavových zpráv nebo sledováním rámců nebo obojím zároveň. Modul následně vytváří data, která jsou vstupem pro plánovač, který je zodpovědný za generaci BWmap. Jak již bylo popsáno v kap , BWmap specifikuje velikost a časování 37

39 Přidělená šířka pásma R (t) Fixní Zajištěná Garantovaná Přídavná vzestupných burstů pro daná Alloc-ID a je přenášena v rámci sestupných rámců společně s uživatelskými daty Referenční model DBA Referenční model DBA je vztahován k jednotlivým Alloc-ID, která jsou dynamicky popisována nabídnutým síťovým zatížením R L (t), které je definováno jako průměrná rychlost, kterou by musela být vyrovnávací paměť obsloužena aby došlo k jejímu vyčerpání v pevně stanoveném čase Δ, který reprezentuje systémovou konstantu [9]. R L (t) = B(t) + A(t, t + Δ) Δ (3.1) V uvedené rovnici je B(t) využití vyrovnávací paměti v čase t, A(t, t + Δ) reprezentuje nově příchozí data za čas Δ. Dynamicky přidělovaná šířka pásma R i (t) 0 alokačního ID i se skládá z garantované a přídavné komponenty, jak je ukázáno na obr Garantovaná šířka pásma se skládá z fixní a assured (zajištěné) šířky pásma, přídavná je tvořena buď non-assured (nezajištěnou) formou nebo formou best-effort. R M Nasycení R A +R F R F R F R A +R F R M Nabídnuté zatížení R L (t) Obr. 3.2: Přidělená šířka pásma s ohledem na nabídnuté zatížení [9]. Fixní část garantované šířky pásma je přidělována staticky, zatímco zajištěná část je přidělována dynamicky, kdy přidělení je založeno na základě nabízeného zatížení pro dané Alloc-ID. Přídavná část šířky pásma je přiřazována dynamicky (šedá oblast obr. 3.2) na základě nabízeného zatížení pro dané Alloc-ID a celkových podmínkách při přenosu [2]. 38

40 Referenční model představuje striktní prioritní hierarchii forem přiřazovaných šířek pásma: 1. Fixní šířka pásma (fixed bandwidth) nejvyšší priorita. 2. Zajištěná šířka pásma (assured bandwidth). 3. Nezajištěná šířka pásma (non-assured bandwidth). 4. Best-effort šířka pásma nejnižší priorita. Přiřazování šířek pásma by tedy OLT CT mělo začít přiřazením fixní šířky pásma všem Alloc-ID na daném kanálu, kdy nezáleží na R L (t) ani podmínkách v síti. Následně OLT CT dokončí přiřazení garantované šířky pásma, kdy přiřadí pásmo každému Alloc-ID, dokud není dosaženo úrovně R A nebo nejsou nasyceny požadavky. OLT CT pokračuje přiřazením nezajištěné šířky pásma nenasyceným Alloc-ID, dokud není dosaženo nasycení nebo není vyčerpána maximální šířka pásma pro nezajištěné přidělení. Posledním krokem je přiřazení best-effort šířky pásma všem dosud nenasyceným Alloc-ID [2] [9]. Pro možnost přiřazování výše uvedených šířek pásma referenčního modelu dojde v rámci registrace ONU jednotky k vytvoření takového počtu Alloc-ID, jejichž množství odpovídá počtu všech podporovaných forem. OLT logicky přiřadí jednotlivé Alloc-ID k dané formě šířky pásma, kdy jednotlivé formy jsou jinak označovány také pomocí T-CONT (Transmission Containers) [12] [18]. T-CONT1 představuje nevyžádané statické přidělování fixní šířky pásma. Představuje CBR (Constant Bit Rate) a je jediným T-CONT přiřazovaným staticky. T-CONT2 odpovídá jisté šířce pásma dohodnuté v SLA (Service Level Agreement). Představuje VBR (Variable Bit Rate) a je poskytována pouze na základě jejího vyžádání. T-CONT3 nabízí služby lepší než best-effort a to s minimální garantovanou šířkou pásma. Přidělování probíhá na základě vyžádané šířky pásma a podmínkách při přenosu. T-CONT4 určen pro služby typu best-effort jako jsou prohlížení webu, FTP (File Transfer Protocol), SMTP (Simple Mail Transfer Protocol) atd. Přidělování není nijak garantováno a je přiřazováno pouze na základě dostupné šířky pásma. T-CONT5 je kombinací dvou či více uvedených T-CONT a používá se pro vymazání určeného T-CONT z MAC kontroléru při přidělování přístupu. Poté závisí na ONU, která fronta bude obsloužena. Toto přiřazení je označováno jako colorless grant a jeho užití je ponecháno na výrobci systému. 39

41 3.2.4 GigaPON Access Network DBA Jedná se o jeden z prvních DBA algoritmů, byl vyvinut v roce 2006 a je znám pod zkratkou GIANT. V rámci algoritmu existuje striktní mapování třídy provozu do odpovídajícího T-CONT dle [2]: T-CONT T1 pouze fixní (fixed) šířka pásma, T-CONT T2 pouze zajištěná (assured) šířka pásma, T-CONT T3 zajištěná a nezajištěná (assured & non-assured) šířka pásma, T-CONT T4 best-effort šířka pásma. GIANT využívá parametry AB min (minimum alokovaných bajtů) a SI max (maximální servisní interval) pro T1, T2, dotazování na T4 a zajištěnou část T3, a také parametru AB sur (nadbytek alokovaných bajtů) a SI min (minimální servisní interval) pro T4 a nezajištěnou část T3. Dekrementující se počítadlo sleduje hodnoty servisních intervalů pro každé T-CONT a jeho vypršení (hodnota 0) vyvolá alokaci bajtů odpovídajícího T-CONT. Stručný popis volby množství alokovaných bajtů je možno vidět v tab Tab. 3.1: Popis parametrů užívaných v GIANT DBA [2] [13]. T1 T2 T3 T4 Mechanizmus a výpočet alokovaných bajtů Periodické získání fixního grantu omezeného pouze PIR. AB min = PIR SI max. Periodické získání grantu potvrzené žádostí. AB min = min{žádaná rychlost, PIR} SI max. Periodické získání grantu potvrzené žádostí. První průchod: AB min = min{min{žádaná šířka pásma, GIR} SI max, GBS}. Druhý průchod (stále volná šířka pásma): AB sur = min{min{žádaná šířka pásma, SR} SI max, PBS-GBS}, platí: SR = nadbytečná alokace = PIR-GIR, GBS < PBS. Dynamické přiřazení závislé na žádosti a dostupnosti. První průchod: AB min = DBRu délka, SI max = dotazovací perioda. Druhý průchod (stále volná šířka pásma): AB min = min{min{dostupná šířka pásma, PIR} SI max, PBS}. GIR (Guaranteed Information Rate) garantovaná informační rychlost PIR (Peak Information Rate) maximální informační rychlost GBS (Guaranteed Burst Size) garantovaná velikost burstu PBS (Peak Burst Size) maximální velikost burstu 40

42 Rozšíření GIANT algoritmu (pro GPON) nazvané Immediate Allocation (IA) bylo představeno v roce IA využívá nový parametr V B(j) ke zvýšení frekvence alokací, která byla závislá na počítadle SI každého T-CONT. V roce 2013 bylo představeno vylepšení IA pro XG-PON nazvané EBU (Efficient Bandwidth Utilisation). Vylepšení využívalo možnosti nastavení záporné hodnoty parametru V B(j), kdy mohlo být použito nevyužité šířky pásma daného T-CONT ve prospěch jiného T-CONT obdobného typu. EBU také představilo S k, kdy [2]: S k = j Z V B (j), Z = {i V B (i) > 0, servicetimer(i) = 0, (3.2) queue(i) T CONT type k}. Po přepočítání S k na konci každého cyklu pro každé T-CONT v každé ONU, EBU vloží záhlaví pro velký počet ONU podporovaných v XG-PON. EBU přineslo také častější dotazování ve srovnání s IA pro přidělení grantu každému T-CONT založené na nejnovějším stavu fronty ONU [2]. Srovnání GIANT, IA a EBU ukazuje, že: Všechny tři DBA jsou postaveny na stejném principu a struktuře algoritmu. Modifikace v EBU a IA se soustředily na přiřazení maximální možné šířky pásma pro T-CONT, které o ni žádaly, i za cenu narušení dotovaných AB. IA a EBU přinesly zlepšení oproti GIANT za cenu komplexní implementace Max-Min Fair DBA pro XG-PON Algoritmus Max-Min fair byl původně navržen pro GPON sítě, jeho úpravou bylo docíleno možnosti nasazení také v XG-PON sítích. Algoritmus umožňuje získat informace o stavu front ONU jednotek jak formou SR, tak TM popisovaných v kap Komplexnost algoritmu je nízká (polynomiální), což v praxi vyhovuje, protože jednotka OLT má velmi omezený čas výpočtu mezi sestupnými rámci. Při přidělování šířky pásma jsou dodržována pravidla [5]: Přidělená šířka pásma není nikdy větší než udávaná velikost fronty jednotkou ONU. ONU jednotky, které nejsou nasyceny získají stejný podíl zbývající šířky pásma. Algoritmus přidělování šířky pásma pro jednotku n v rámci t s velikostí GrantSize Wt n má průběh [5]: Inicializace: Setřízení ONU dle požadované kapacity Rt n v dané množině S. Wt n jednotlivých ONU nastaveno na 0. v rostoucím pořadí 41

43 1. Výpočet dostupné šířky pásma dle [5]: B L = BW m k=1 Wt k, (3.3) S kde BW je šířka pásma pro vzestupné bursty (celková šířka pásma - záhlaví a ochranné intervaly), m je celkový počet ONU a S je počet elementů v S. 2. Pro všechny ONU dojde k obnovení GrantSize W n t dle [5]: W k t = min{r k t, W k t + B L }. (3.4) 3. Odstranění všech ONU z S, které byly nasyceny [5] W k t = R k t. (3.5) 4. Pokud S 0 opakuj algoritmus od kroku 1, jinak algoritmus ukonči. 42

44 4 IMPLEMENTACE NG-PON2 STANDARDU Tato část práce je věnována především implementaci standardu NG-PON2 do prostředí OPNET Modeleru, kde jsou navrženy vlastní procesy a uzly. V následujících podkapitolách je popsáno jak samotné prostředí simulátoru, tak postup, jakým způsobem byly vlastní prvky pro výslednou simulaci vytvořeny, jejich atributy aj. Obsažena je také kapitola, kde jsou výsledky simulací srovnány s reálnými parametry standardu. 4.1 OPNET Modeler OPNET Modeler nově označený jako Riverbed Modeler je diskrétní síťový simulátor využívaný pro návrh a analýzu komunikačních sítí. OPNET Modeler obsahuje rozsáhlé knihovny, díky kterým je možno simulovat sítě různých standardů, využívat širokou škálu uzlů a linek, kterým lze přiřadit mnoho implementovaných atributů. Po provedení simulace je možno si výsledná data zobrazit formou grafů, tabulek atd. Pro práci na tomto dokumentu ovšem nebyly již implementované uzly a linky příliš využívány, jelikož simulátor neobsahuje prvky pro optické přenosy. Z tohoto důvodu bylo nezbytné implementovat optické prvky a jejich procesní modely svépomoci. K vlastní implementaci bylo využito již obsažených editorů: Procesní editor nejnižší vrstva modeleru, využívaná pro vytvoření konečného stavového automatu. Jednotlivé stavy obsahují vstupní a výstupní část kódu. Jednotlivé události, které mají proběhnout v daném stavu jsou programovány v jazyce C/C++. Uzlový editor jedná se o editor uzlů sítě, kdy vhodným použitím procesorů, front, vstupních a výstupních rozhraní je možno vytvořit téměř jakýkoliv reálný prvek. Každému navrženému procesoru nebo frontě je možno přiřadit již existující nebo uživatelem vytvořený procesní model. Síťový editor slouží pro vytvoření topologie sítě z vytvořených či již implementovaných uzlů, které jsou propojeny vhodnými linkami nebo v případě bezdrátové technologie vloženy do vhodné vzdálenosti od sebe. 4.2 Tvorba entit simulace Pro jakékoliv simulace je nutno v OPNET Modeleru vytvořit topologii sítě. Pro tuto práci byla navržena topologie, na které je možno provést simulace a představit výsledky, kterých bylo dosaženo. Topologie se skládá z malého počtu uzlů, za 43

45 kterými se ovšem skrývá mnoho dílčích procesorů, front, rozhraní a stavových automatů. Aktuálně užívaný scénář a topologie jsou uvedeny v odpovídajících částech kapitoly Datové jednotky Jako základní jednotky byly pro simulace vytvořeny jednotky s názvy BWmap a burst. Jejich užití v simulaci je popsáno dále, překreslenou podobu vytvořené jednotky BWmap je možno vidět na obr. 4.1, kdy tato navržená jednotka představuje jednotlivé alokační struktury pole BWmap, které je obsaženo v záhlaví sestupného rámce uvedeného na obr Alloc-ID (14 bits) DBRu (1 bit) PLOAMu (1 bit) StartTime (16 bits) GrantSize (16 bits) FWI (1 bit) BurstProfile (2 bits) HEC (13 bits) Obr. 4.1: Podoba jednotky BWmap v OPNET Modeleru Linka Pro možnost propojení jednotlivých uzlů navržené topologie byla vytvořena linka s názvem dp_linka. Zpoždění a BER navržené linky jsou nastaveny na hodnotu 0. Nastavením zpoždění linky by došlo k simulování různé délky optického vlákna, důvod nastavení uvedené hodnoty je popsán v kap Multiplexer a splitter Funkcí multiplexeru je v sestupném směru sdružení příchozích optických signálů (jednotlivých datových toků) z OLT CT s různou vlnovou délkou na jediné optické vlákno. Ve směru vzestupném multiplexer provádí analýzu burstu a na základě předem definovaných rozsahů odešle příchozí burst ke správnému OLT CT. Splitter je užíván v rámci sestupného směru ODN k rozdělení příchozího signálu na výstupní porty v poměru 1:N a v rámci vzestupného směru ke sloučení signálů na jediné vlákno. Navržené splittery mají dělicí poměr 1:8 a 1:16. Podobu splitteru 1:8 je možno vidět na obr

46 Obr. 4.2: Navržený splitter s dělicím poměrem 1: OLT Jednotku OLT v rámci sítě představují jednotlivá kanálová zakončení OLT CT pracující na různých vlnových délkách. Definice užité vlnové délky je spojena s nastavením atributu Basic ONU-ID, který je provázán s dalšími entitami v simulaci. Nastavením dalších atributů jako: Period, Start time, ONU 1 8, Mode a Modification je definována velikost (délka) sestupného i vzestupného rámce v sekundách, začátek vysílání dané OLT, počáteční stav jednotek ONU (definováno logicky, ONU vypnuta/nepřipojena=0, ONU aktivní=1), mód přidělování grantů (statický=0 nebo dynamický=1) a přítomnost navrženého algoritmu pro rozdělení nevyužité části vzestupného rámce mezi ONU jednotky (vypnuto=0, zapnuto=1). Jelikož je cílem simulací představit přidělování grantů jednotkám ONU, je OLT tvořena generátorem datových jednotek BWmap, originální komponentou sink, která je koncovým bodem zaznamenávajícím statistiky a slouží také k odstranění příchozího provozu a potřebným vysílačem a přijímačem ve směru jednotek ONU. Procesní model generátoru je uveden na obr Při přechodu do uvedeného stavu proces je vytvořena jednotka BWmap, která je naplněna validními informacemi pro danou ONU. Vytvořené jednotky jsou odeslány. 45

47 Process Model: sta_dyn_processor_source init idle 30 / 0 0 / 0 (default) (SEND) proces 384 / 0 Obr. 4.3: Procesní model generátoru ONU ONU jednotka v sestupném směru přijímá všechna data odeslaná z jednotlivých OLT CT. Jelikož v rámci simulace nejsou za ONU jednotkami připojováni koncoví účastníci, jsou přicházející data dělena pouze na základě příslušnosti dané jednotce pomocí Alloc-ID. Struktura ONU vytvořená v editoru uzlů je na obr Pokud jsou data určena pro tuto jednotku, jednotka získá informace z polí BWmapy a následně jsou data odeslána do sinku s názvem sink_my_packet. Pokud se nejedná o data určená této jednotce, jsou odeslána do procesoru sink a okamžitě zahozena. Každý sink obsahuje statistiky, ze kterých je možno čerpat data. Obr. 4.4: Uzlový model ONU jednotky. 46

48 Nastavovanými atributy jednotlivých ONU jsou ONU-ID, Seed, Frame size. V případě shody atributu ONU-ID s Alloc-ID v příchozí BWmap je datová jednotka určena pro tuto ONU. ONU získá informace o přiděleném grantu, tedy jeho počáteční čas a velikost (délku) z polí StartTime a GrantSize. V procesním modelu zobrazeném na obr. 4.5 jsou uvedené informace získány ve stavu pk_check, který následně plánuje odeslání burstu ve stavu send. Atribut Seed definuje počáteční nastavení vstupu generátoru náhodných čísel a pomocí atributu Frame size je možno měnit velikost Process Model: onu_sem_static rámce, stejně jako u OLT jednotek. Každá z ONU jednotek může v dynamickém módu odeslat žádost až o velikosti 40 % celkové velikosti rámce. (default) (PK_ARRVL) (default) pk_check 50 / 0 init idle (SEND) 13 / 0 0 / 0 (default) send 31 / 0 Obr. 4.5: Procesní model ONU procesoru. 4.3 Simulace přidělování grantů Pro simulaci přidělování grantů byla vytvořena topologie odpovídající obr Topologie se skládá z dříve popsaných uzlů, tedy ze čtyř kanálových zakončení, multiplexeru, splitteru a 8 ONU jednotek, kde všechny tyto uzly jsou propojeny vytvořenou linkou. ONU jednotky jsou v jednotlivých scénářích přejmenovány dle potřeby, v rámci testování vlivu typu přidělování grantů na časovou náročnost simulace jsou přidány další ONU jednotky a také odpovídající počet splitterů. Princip komunikace je ve všech scénářích stejný, kdy po počátečním nastavení atributů jednotlivých uzlů topologie dochází ke komunikaci mezi OLT kanálovými zakončeními k jednotkám ONU. Kanálová zakončení odesílají pro přidělení grantů vytvořené jednotky s názvem BWmap, které jsou následně přijaty ONU jednotkami, dle nastavení filtrovány a zpracovány. V definovaných časech probíhá odeslání jednotek burst, jejichž velikost je vypočítána. Všechny scénáře pracují se symetrickou variantou rychlostí, kdy přenosová rychlost je 10 Gbit/s. 47

49 Obr. 4.6: Navržená topologie Scénář 1 statické přidělování grantů na jedné λ V rámci tohoto scénáře byly všechny ONU jednotky z topologie uvedené na obr. 4.6 přiřazeny kanálovému zakončení OLT_CT_1. Odpovídající nastavení OLT_CT_1 je uvedeno v tab Ostatní kanálová zakončení byla prozatím vyřazena. Tab. 4.1: Nastavení atributů pro kanálové zakončení OLT_CT_1. Atribut Hodnota Basic ONU-ID 100 Guard Time Mode 0 Modification 0 ONU Offset 1E-006 Period Start Time 0.0 Pro správnou funkci bylo nutné nastavit také atribut OLT X ID (kde X označuje jednotku) uzlu mux na hodnoty 100, 200, 300 a 400. Tyto hodnoty vytváří abstrakci použité vlnové délky. Atribut ONU-ID jednotlivých ONU jednotek byl nastaven na odpovídající hodnoty 100 až 107. Výsledkem simulace v tomto nastavení je graf viz obr. 4.7, na kterém je zobrazeno statické přidělování grantů ONU jednotkám pro dva vzestupné rámce. Přidělování je zobrazeno pomocí 3D grafu, proto se může zdát, že se jednotlivá vysílání jednotek 48

50 ONU překrývají, není to ovšem pravda. V grafu je možno vidět, že vzestupný rámec o délce 125 ms je rovnoměrně rozdělen na granty jednotlivých ONU jednotek. Obr. 4.7: Statické přidělování grantů v rámci scénáře Scénář 2 statické přidělování grantů všemi kanálovými zakončeními Cílem druhého scénáře je zobrazení přidělování grantů v situaci, kdy jsou aktivní všechna kanálová zakončení. V této situaci dochází k paralelnímu přenosu díky využívání různých λ pro jednotlivá kanálová zakončení. Přiřazení jednotlivých ONU ke kanálovým zakončením je uvedeno v tab Tab. 4.2: Nastavení kanálových zakončení a přiřazení ONU jednotek pro scénář 2. OLT_CT_1 OLT_CT_2 OLT_CT_3 OLT_CT_4 Basic ONU-ID Počet aktivních ONU Pro zobrazení paralelního přenosu byl vygenerován graf zobrazený na obr V grafu je využíváno zobrazení low-level-point-to-point.busy mezi multiplexerem mux a jednotlivými kanálovými zakončeními. Z grafu je patrné, že dochází k paralelnímu 49

51 přenosu ke všem kanálovým zakončením. Také je patrné, že jednotlivá kanálová zakončení přidělují na základě počtu přiřazených ONU jednotek různě velké granty. Nejmenší granty jsou přidělovány kanálovým zakončením 4 (přiřazeny 3 ONU jednotky) a největší grant je přidělován ONU připojené ke kanálovému zakončení 1. Obr. 4.8: Paralelní přenos díky užití různých λ Scénář 3 dynamické přidělování grantů Pro simulaci dynamického přidělování grantů byl z důvodu přehlednosti duplikován scénář 1, v jednotce OLT_CT_1 došlo k nastavení atributu Mode na hodnotu 1. Nastavením atributu Mode na hodnotu 1 je v OLT aktivován algoritmus Max-Min Fair, který na základě reportovaných žádostí přiděluje ONU jednotkám granty. Princip algoritmu je shodný s popisem z teoretické části této práce. Došlo také k vypnutí jednotek ONU_6, ONU_7, ONU_8 a jejich odhlášení od OLT jednotky. Výsledný graf získaný simulací tohoto scénáře je zobrazen na obr Z grafu je patrné, že v důsledku malých požadavků ze strany ONU jednotek dochází ve třetím cyklu k nevyužití celého vzestupného rámce Scénář 4 dynamické přidělování grantů s navrženou modifikací Vzhledem k situaci, která nastala ve třetím cyklu přidělování ve scénáři 3, byl do procesního modelu OLT jednotky zapracován kód, který v případě nevyužití ce- 50

52 Obr. 4.9: Graf dynamického přidělování grantů. lého vzestupného rámce provede rozdělení zbývající části rámce rovnoměrně mezi jednotky ONU. Výsledek je možno graficky vidět na grafu na obr Obr. 4.10: Graf dynamického přidělování grantů s modifikací. Tato vytvořená modifikace je zapnuta nastavením atributu Modification na hodnotu 1. Vložený algoritmus provede výpočet nevyužité délky vzestupného rámce a dle informací o počtu aktivních jednotek a délce ochranného intervalu Guard Time 51

53 provede rozdělení zbývající délky rámce mezi všechny aktivní ONU jednotky. Zmíněná změna velikosti grantu odporuje pravidlu, které zní, že přidělená šířka pásma není nikdy větší než udávaná velikost fronty jednotkou ONU, přesto se jedná o modifikaci, která ve vzniklé situaci nepoškodí z pohledu přidělené délky grantu žádnou ONU jednotku. Jelikož výpočet grantů a jejich přidělení ONU jednotkám není okamžité, může v případě navýšení využití pamětí u jednotek dojít ke zvýšení efektivity odesláním nově příchozích dat o minimálně 1 cyklus přidělování grantů dříve Scénář 5 vliv dělicího poměru na časovou náročnost simulace Tento simulační scénář má poukázat na vliv typu přidělování na časovou náročnost provedení simulace. Pro tento scénář bylo nutno topologii upravit. Celkově bylo připojeno 20 ONU jednotek, 5 ke každé OLT jednotce. Připojení proběhlo pomocí 2 splitterů 1:16, k propojení byla opět použita navržená linka. Hodnoty získané simulacemi jsou uvedeny v tab Tab. 4.3: Hodnoty získané provedením simulací ve scénáři 5. Délka simulace [s] Čas potřebný pro simulaci statického přidělování [s] 6, Čas potřebný pro simulaci dynamického přidělování [s] Čas potřebný pro sim. dynam. přidělování s modifikací [s] Jak bylo očekáváno, doba potřebná pro provedení simulací se statickým přidělováním grantů byla nižší než doba potřebná pro simulaci s dynamickým přidělováním grantů. Pokud je v rámci dynamického přidělování grantů zapnuta modifikace zahrnující přerozdělení nevyužité části vzestupného rámce mezi ONU jednotky, dojde k dalšímu nárůstu doby potřebné k simulaci Scénář 6 a 6a vliv aktivních splitterů na výsledky simulací Vzhledem k tomu, že není možno v OPNET Modeleru navrhnout čistě pasivní prvky, dochází ve splitterech a multiplexeru ke zpoždění rámců. Pro demonstraci tohoto vlivu byly navrženy modely pro splittery s dělícími poměry 1:8 a 1:16. Ve scénáři 6 byly mezi multiplexer a ONU jednotky vloženy čtyři splittery s dělícím poměrem 1:8 a ve scénáři 6a dva splittery s dělícím poměrem 1:16. Cílem je demonstrovat připojení více ONU, v tomto případě minimálně 29 ONU jednotek. Z výsledných grafů 52

54 viz obr lze pozorovat, že první rámec mezi multiplexerem a OLT jednotkou se v případě použití splitterů 1:8 přenáší od času simulace 0,063 s a v případě splitterů 1:16 od času 0,019 s. Důsledkem tohoto vlivu je v ostatních scénářích sledována především komunikace mezi ONU jednotkami a navazujícím splitterem, kde nedochází k časovým posuvům. Výsledný graf scénáře 6 je na obr. 4.11, kde je možné vidět jak velké zpoždění je způsobeno. Obr. 4.11: Výsledný graf scénáře Shrnutí výsledků simulací Výsledky získané simulacemi potvrzují teoretické předpoklady. Z výsledků je patrné, že přidělování grantů dynamickou metodou je v jistých případech vhodnější, než přidělování metodou statickou. Dynamické přidělování umožňuje jednotkám ONU přidělovat pouze takové granty, které jednotky využijí efektivně a celkově je využití vzestupného rámce efektivnější oproti statickému přidělování. Dynamické přidělování grantů sebou vnáší adaptabilitu na aktuální stav sítě, přizpůsobení se ovšem není okamžité, kdy hranicí přizpůsobení je alespoň teoreticky čas 2 ms. Nutné je také zmínit, že simulovány byly pouze situace, kdy byl připojen malý počet jednotek ke každému OLT. Při připojení více ONU (za každou ONU může být i několik na sobě nezávislých koncových uživatelů) může dojít k teoretickému navýšení požadavků ze strany ONU, což může při trvale vysokých požadavcích způsobených velkým využitím jejich vyrovnávacích pamětí vést k situaci, kdy dojde k zániku výhod dynamického přidělování grantů a budou kladeny pouze vyšší nároky na OLT jednotky. 53

55 V rámci dynamického přidělování grantů je využíván algoritmus Max-Min Fair a jeho modifikace. Tento algoritmus byl vybrán z důvodu přiřazení menšího počtu ONU jednotek ke každému OLT, nepřítomnosti reálného provozu ve vzestupném směru sítě a také z důvodu snadnějšího pochopení, kdy je brána v potaz navržená úloha a zkušenosti uživatelů (studentů), kteří budou tuto úlohu vytvářet a mají omezený čas na její pochopení. 4.4 Srovnání entit a reality V této podkapitole jsou v odpovídajících blocích uvedeny rozdíly implementovaných entit od reálné sítě, kdy jsou uvedeny důvody vedoucí k takovémuto návrhu a příčiny odlišného chování. Datové jednotky V prováděných simulacích je v sestupném směru využíváno vytvořené datové jednotky s názvem BWmap, která je pouze částí hlavičky sestupného rámce. V rámci této jednotky jsou využívána pouze některá pole a to z důvodu, že nejsou přenášeny PLOAMu zprávy a není simulována chybovost při přenosu, díky čemuž nemusí být užíváno HEC. Linka V atributech vytvořené linky je definována hodnota BER=0, čímž byla vyloučena možnost chybného přenosu, díky čemuž nemusí být využíváno kontrolních polí uvedených v teoretické části této práce. Druhým atributem linky nastaveným na 0 je hodnota zpoždění. Nastavením jiné hodnoty by došlo k simulování různě dlouhých optických vláken, což by znamenalo nutnost výpočtu doby přenosu k jednotlivým uzlům topologie, což není cílem této práce. V rámci jednotlivých uzlů ovšem dochází ke zpožďování přenosu, což svým způsobem vnáší do simulací obdobnou potíž, která je vyřešena nastavením odpovídajících atributů u daných jednotek. Multiplexer a splittery Tyto navržené uzly jsou uváděny společně z důvodu, kterým je to, že tyto uzly neodpovídají ze své podstaty reálným prvkům. V rámci procesorů uvnitř těchto uzlů dochází k příjmu a až následnému odeslání datových jednotek, čímž dochází k vytváření zpoždění, což neodpovídá vlastnostem pasivních prvků. V rámci multiplexeru musí dojít k definici užívané vlnové délky jednotlivými kanálovými zakončeními nastavením odpovídajících atributů ve formátu OLT X ID, kde X označuje 54

56 číslo kanálového zakončení. V rámci splitterů dochází také ke zpoždění, které bylo simulováno a zobrazeno na obr OLT Jak již bylo zmíněno, OLT jednotka nezjišťuje zpoždění přenosu mezi kanálovým zakončením a odpovídající jednotkou ONU. Tímto odpadá nutnost úpravy jednotlivých polí jednotky BWmap, pro dané ONU. Jednotka oproti reálnému prvku nevkládá do pole StartTime jednotky BWmap údaje na bázi čísla identifikujícího interval, kdy má být přenášen první bajt vzestupného rámce, ale údaj vyjadřující globální čas simulace, kdy má být započato vysílání. Obdobně do pole GrantSize téže jednotky nevkládá údaj o velikosti grantu, který je přepočítán na bajty, nýbrž údaj v podobě čísla identifikujícího délku časového intervalu pro vysílání. Definice užívané λ pro jednotlivá kanálová zakončení je prováděna nastavením atributu Basic ONU-ID. Nastavení atributů dalších uzlů topologie musí odpovídat číselnou hodnotou nastavení kanálových zakončení. ONU V rámci jednotek ONU dochází k definici atributu ONU-ID, který přiřazuje jednotku k určitému kanálovému zakončení a definuje také užitou λ. U ONU je možno přiřadit pouze jedno Alloc-ID na rozdíl od reálného přiřazování, kdy je u ONU vytvořeno i několik Alloc-ID, zejména u DBA. V simulaci platí, že ONU-ID=Alloc-ID, tak jako je tomu u reálného přidělení základní hodnoty těchto identifikátorů. Přiřazování ONU-ID by mělo být prováděno od hodnoty Basic ONU-ID (včetně) maximálně po hodnotu Basic ONU-ID+7, což odpovídá přiřazení osmi ONU jednotek ke kanálovému zakončení. Procesor jednotlivých ONU provádí výpočet velikosti burstu v bitech z pole GrantSize, ve kterém je uváděna délka přiděleného časového úseku namísto reálného přenosu čísla definujícího velikost burstu v závislosti na přenosové rychlosti ONU. 4.5 Nesrovnalosti při práci s modelerem. V této podkapitole je zmíněno několik nedostatků, které se naskytly zejména při návrhu entit a tvorbě simulačních scénářů. Níže zmíněné nedostatky mohou být následkem práce s OPNET Modelerem v tzv. Educational Version. 1. Problém s velikostí polí datových jednotek lze vytvořit libovolně velká pole datové jednotky, není v nich ovšem možno přenášet čísla v celočíselném formátu větším než je hodnota 2 31, což je nejspíše dáno 32-bitovou implementací. 55

57 2. Rychlost linky při návrhu linky s určitou přenosovou rychlostí je možno touto linkou v simulacích přenášet větší rychlostí, než je v návrhu definovaná. Docílení tohoto stavu je možné nastavením vysílače, k němuž je linka připojena, na vyšší přenosovou rychlost. 3. Záznam statistik při odstranění entity topologie, na níž byly v předchozím běhu simulace sledovány statistiky může mít za následek tzv. Recoverable error. Tato chyba není fatální pro běh simulace, v konzoli ovšem oznamuje, že není možno sledovat zvolenou statistiku v době, kdy se daná entita v topologii již nenachází. 4. Nový formát paketu pokud uživatel vytvoří nový formát paketu, který by chtěl použít na již dříve vytvořené topologii v určitém scénáři, dochází k chybě, že tento formát není podporován užitými rozhraními nebo linkami. K odstranění chyby nevede nové spuštění simulačního prostředí ani přidání formátu paketu do seznamu podporovaných u rozhraní a linek uvedených ve výpisu chyby, nýbrž až opětovný návrh nového scénáře. 5. Zobrazení grafů při jistých nastaveních simulací dochází k nepřesnému vykreslení grafů. Konzole vypisuje po provedení simulace správné údaje, ovšem graf zobrazený např. na obr. 4.8 není konzistentní. Jelikož je komunikace periodická, není důvod k různému vykreslení v jednotlivých periodách jako je tomu například u zmíněného grafu. 56

58 5 NÁVRH ÚLOHY S VYUŽITÍM NAVRŽENÝCH ENTIT Cílem této úlohy je představit studentům funkci statického a dynamického přidělování grantů v přístupových optických sítích standardu NG-PON2. Výsledky získané simulacemi představí výhody i nevýhody přidělování grantů jednotlivými metodami a konkrétně představí dynamické přidělování grantů algoritmem Max-Min Fair. Samotná úloha je přiložena jako příloha A. Výsledné grafy s vysvětlením otázek Na grafu uvedeném v úloze je zobrazeno statické přidělení grantů o stejné velikosti závislé na počtu připojených ONU jednotek. Ve scénáři Static 2 je výsledný graf zobrazen na obr.5.1. V simulaci dochází k paralelnímu přenosu dat díky využití dvou rozdílných λ, tedy WDM. Obr. 5.1: Graf scénáře Static 2. Ve scénáři Dynamic dochází ve třetím cyklu k situaci, kdy suma grantů o které ONU jednotky žádají je menší než je velikost rámce. Řešením je připojení více jednotek a tedy efektivnější využití sítě. Výsledný graf je zobrazen na obr

59 Obr. 5.2: Graf scénáře Dynamic. Ve scénáři Dynamic s nastavenou hodnotou Modification=1 dochází k přerozdělení nevyužité doby rovnoměrně mezi aktivní ONU jednotky tak, aby byl využit celý vzestupný rámec. Výsledný graf je zobrazen na obr Odpovědi na otázky k úloze 1. Jako Full duplexní je označována komunikace, kdy strany mohou komunikovat současně. Half duplex je komunikace kdy komunikují obě strany, ovšem ne současně, např. vysílačky. Simplexní komunikace je komunikace pouze od strany A ke straně B, naopak není možná, např. DVB-T. 2. Idle frame neboli rámec výplně je jednotkou vysílán v případě, že jednotka nemá žádná relevantní data k odeslání. 3. Základní hodnota těchto identifikátorů je shodná, ONU-ID je jedinečný identifikátor ONU jednotky v rámci sítě, společně s XGEM Port-ID slouží k identifikaci příjemce v sestupném směru, Alloc-ID je identifikátorem vzestupného směru komunikace. 58

60 Obr. 5.3: Graf scénáře Dynamic s modifikací. 59

61 6 ZÁVĚR Diplomová práce se věnuje tématu komunikace v NG-PON2 sítích. V rámci teoretické části práce byly nejprve ve stručnosti popsány optické sítě, zejména jejich skladba, vlastnosti a historický vývoj. Drtivá většina práce se věnuje detailnímu popisu komunikace v sítích druhé generace, který začíná souhrnným pohledem na standard NG-PON2, dále je popsán princip adresace a užívané datové jednotky. Nechybí ani popis principu řízení přístupu k médiu. Uvedený princip komunikace je shrnut v kapitole 2.6, kdy je uveden také názorný příklad komunikace. Následující a poslední část teoretické sekce se zabývá přidělováním grantů v optických sítích. Popsány jsou dvě metody přidělování, u nichž jsou uvedeny jejich výhody i nevýhody. Majoritní část popisované problematiky se věnuje dynamickému přidělování grantů, zatímco statickému přidělování je věnována část minoritní. Obsahem čtvrté kapitoly je implementace sítí NG-PON2 se statickým či dynamickým přidělování grantů do OPNET Modeleru. V praktické části jsou popsány postupy vytvoření vlastních entit v simulačním nástroji, jejich využití v simulovaných scénářích a získané výsledky. Porovnání získaných výsledků včetně srovnání statického a dynamického přidělování je obsaženo v kap Je obsažena také kapitola srovnávající navržené entity s reálnými prvky. Závěrem jsou uvedeny nedostatky a chyby, které byly zaregistrovány v průběhu návrhu a provádění simulací. Přílohu A tvoří úloha, která využívá navržených entit. Cílem úlohy je představit studentům statické a dynamické přidělování grantů a je vytvořena tak, aby ji bylo možno zapracovat do osnov kurzu týkajícího se optických sítí. 60

62 LITERATURA [1] ALTICE LABS. Evolution of FTTH Networks for NG-PON2. [online]. 2013, [cit ]. Dostupné z URL: < WP-Evolution-of-FTTH-Networks-for-NG-PON2.pdf>. [2] AROKKIAM, Jerome A.; BROWN Kenneth N.; SREENAN Cormac J. Refining the GIANT dynamic bandwidth allocation mechanism for XG-PON. Communications (ICC), 2015 IEEE International Conference on [online]. 2015, str DOI: /ICC [cit ]. Dostupné z URL: < [3] CYMOREK,P. Proceedings of the 22nd Conference STUDENT EEICT 2016: SIMULATION OF STATIC GRANT ALLOCATION IN NG-PON2 NE- TWORKS. 1. vydání Brno, str ISBN [4] CYMOREK,P. Simulace Triple Play služeb v sítích XG-PON v prostředí NS-3: bakalářská práce. Brno: Vysoké učení technické v Brně, Fakulta elektrotechniky a komunikačních technologií, Ústav telekomunikací, s. [cit ]. Dostupné z URL: < id=85280>. [5] GRAVALOS, I.; YIANNOPOULOS, K.; PAPADIMITRIOU, G.; VARVARI- GOS, Emmanouel A. A modified max-min fair dynamic bandwidth allocation algorithm for XG-PONs. [online]. IEEE, DOI: /NOC ISBN: [cit ]. Dostupné z URL: < ieeexplore.ieee.org/document/ /> [6] HARAN, O.; SHEFFER, A. The Importance of Dynamic Bandwidth Allocation in GPON Networks. [online]. PMC-Sierra, Inc., [cit ]. Dostupné z URL: < &filename= _gpon_dba_wp_r1_ pdf> [7] ITU-T. G : 40-Gigabit-capable passive optical networks (NG-PON2): General requirements. [online]. 2015, [cit ]. Dostupné z URL: <https: // [8] ITU-T. G : 40-Gigabit-capable passive optical networks 2 (NG- PON2): Physical media dependent (PMD) layer specification. [online]. 2015, [cit ]. Dostupné z URL: < /en>. 61

63 [9] ITU-T. G : 40-Gigabit-capable passive optical networks (NG-PON2): Transmission convergence layer specification. [online]. 2015, [cit ]. Dostupné z URL: < [10] KHOTIMSKY, Denis A. NG-PON2 Transmission Convergence Layer: A Tutorial. Journal of Lightwave Technology , 34(5), str DOI: /JLT ISSN: [cit ]. Dostupné z URL: < htm?arnumber= >. [11] KNITTLE, C. Passive Optical Networking for the Next Generation. [online]. 2015, [cit ]. Dostupné z URL: < news-events/blog/#next-generation-passive-optical-networking>. [12] KYRIAKOPOULOS, Constantine A.; PAPADIMITRIOU, Georgios I. Bandwidth Efficiency in the Next Generation Access Architecture XG- PON. [online]. IEEE, DOI: /ICUFN ISSN: [cit ]. Dostupné z URL: < abstract/document/ />. [13] LELIGOU, H.; LINARDAKIS, CH.; KANONAKIS, K.; ANGELOPOULOS, J.D.; ORPHANOUDAKIS, T. Efficient medium arbitration of FSANcompliant GPONs. [online]. International Journal of Communication Systems, DOI: /dac.761. [cit ]. Dostupné z URL: < medium_arbitration_of_fsan-compliant_gpons_research_articles>. [14] NESSET, D. NG-PON2 Technology and Standards. Journal of Lightwave Technology , str DOI: /JLT ISSN: [cit ]. Dostupné z URL: < document/ />. [15] SARIGIANNIDIS, P.; PAPADIMITRIOU, G.; NICOPOLITIDIS, P.; VARVA- RIGOS, E.; YIANNOPOULOS, K. HYRA: An efficient hybrid reporting method for XG-PON upstream resource allocation th International Conference on Optical Communication Systems (OPTICS) [online]. IEEE, 2014, str [cit ]. Dostupné z URL: < icp.jsp?arnumber= >. 62

64 [16] SCHOLTZ, L.; KORČEKL, D.; LADÁNYI, L.; MÜLLEROVA, J. Tunable thin film filters for the next generation PON stage 2 (NG-PON2). [online]. IEEE, DOI: /ELEKTRO ISBN: [cit ]. Dostupné z URL: < document/ />. [17] SKUBIC, B.; CHEN, B.; CHEN J.; AHMED, J.; WOSINSKA L. Improved scheme for estimating T-CONT bandwidth demand in status reporting DBA for NG-PON. [online]. IEEE, DOI: /ACP.2009.TuT2. ISSN: [cit ]. Dostupné z URL: < document/ />. [18] YANG, L.; GUOPING, Z.; QING, L. An Improved Dynamic Bandwidth Allocation Algorithm for GPON. [online]. IEEE, DOI: /SOPO ISBN: [cit ]. Dostupné z URL: < #full-text-section>. 63

65 SEZNAM SYMBOLŮ, VELIČIN A ZKRATEK 10G-EPON 10 Gbit/s Ethernet Passive Optical Network AB AON APON BER BPON DBA DBR EBU EPON FEC FS FTP GIANT GPON HEC IA IEEE ITU-T LF MAC Allocation Bytes Active Optical Network ATM PON Bit Error Rate Broadband PON Dynamic Bandwidth Allocation Dynamic Bandwidth Report Efficient Bandwidth Utilisation Ethernet Passive Optical Network Forward Error Correction Framing Sublayer File Transfer Protocol GigaPON Access Network Gigabit-capable Passive Optical Network Hybrid Error Correction Immediate Allocation Institute of Electrical and Electronics Engineers International Telecommunication Union - Telecommunication Standardization Sector Last Fragment Media Access Control NG-EPON Next Generation of Ethernet Passive Optical Network NG-PON2 Next-Generation Passive Optical Network 2 64

66 ODN OLT OLT CT OMCC ONT ONU P2P P2MP PLI PLOAM PON PSBU SDU SI SMTP SR T-CONT TCP/IP TDM TDMA TM TWDM WDM XGEM XG-PON Optical Distribution Network Optical Line Terminal Optical Line Terminal Channel Termination ONU Management and Control Channel Optical Network Terminal Optical Network Unit Point-to-Point Point-to-Multipoin Payload Length Indication Physical Layer Operations, Administration and Maintenance Passive Optical Network Physical Synchronization Block upstream Service Data Unit Service Interval Simple Mail Transfer Protocol Status Reporting Transmission Container Transmission Control Protocol/Internet Protocol Time Division Multiplex Time Division Multiplex Access Traffic Monitoring Time and Wavelength Division Multiplex Wavelength Division Multiplex XG-PON Encapsulation Method 10-Gigabit-capable Passive Optical Network 65

67 SEZNAM PŘÍLOH A Přidělování grantů v sítích standardu NG-PON2 67 A.1 Cíle úlohy A.2 Úvod A.3 Pracovní postup B Obsah přiloženého CD 81 66

68 A PŘIDĚLOVÁNÍ GRANTŮ V SÍTÍCH STAN- DARDU NG-PON2 A.1 Cíle úlohy 1. Seznámit se s prostředím OPNET Modeleru v Získat teoretické znalosti o sítích standardu NG-PON2. 3. Získat znalosti o statickém a dynamickém přidělování grantů, v rámci dynamického přidělování porozumnět algoritmu Max-Min Fair. 4. Simulovat přidělování grantů různými metodami. A.2 Úvod Již mnoho let jsou využívána optická vlákna k přenosům dat. Jako první byl v roce 1998 představen APON (ATM Passive Optical Network), tedy první standard ze skupiny standardů ITU-T. V rámci této úlohy bude představen nejnovější standard s označením NG-PON2 (Next-Generation PON 2), který byl představen v roce Tento standard není na našem území prakticky vůbec rozšířen, jedná se ovšem o zpětně kompatibilní standard, který tedy není svou funkcí odlišný od již rozšířených standardů GPON (Gigabit PON) a XG-PON (10 Gigabit PON). NG-PON2 Jak již bylo zmíněno, NG-PON2 je nejnovějším standardem ze skupiny standardů ITU-T. Jedná se o standard provozovaný především na optických sítích s architekturou P2MP, tedy na principu připojení až desítek (stovek) koncových účastníků sítě pomocí jedné centrální jednotky OLT, kdy účastníci sdílí společné médium. V sítích se strukturou P2MP je využíváno časového dělení kanálu, tedy TDM, kdy každému z účastníků je přidělen (poskytnut) časový interval, ve kterém může komunikovat. Aby byla zaručena plně duplexní komunikace, je v optických sítích obecně využíváno také WDM, je tedy využíváno různých vlnových délek ve směru vzestupném (upstream) a ve směru sestupném (downstream). NG-PON2 na rozdíl od starších standardů využívá více kanálových zakončení na straně poskytovatele (provozovatele) obsahujících laditelné filtry a lasery, čímž se zvyšuje maximální přenosová rychlost systému díky kombinaci až 4 zdrojů s dílčí přenosovou rychlostí 10 Gbit/s na 40 Gbit/s. Taktéž ONU jednotky na straně účastníků musí obsahovat laditelné lasery a filtry. Použitím laditelných součástí je umožněna migrace uživatelů. Princip komunikace pomocí různých λ je zobrazen na obr. A.1. 67

69 Fyzická adaptační podvrstva Rámcová podvrstva Servisní adaptační podvrstva Downstream D C B A A A A Upstream ONU A ONU A D C B A D C B A B B C A B A OLT Splitter D C B A ONU B C ONU B C Splitter OLT ONU C ONU C Obr. A.1: Využití 4 λ na jednom vláknu. Komunikace mezi jednotkami je realizována posíláním rámců o délce 125 µs. Tyto rámce jsou kromě nutných záhlaví a polí určených k detekci chyb atd. tvořeny především XGEM rámci, do kterých jsou na úrovni 2. vrstvy modelu ISO/OSI vkládány jednotky vyšších vrstev (SDU). Tvorba fyzického rámce v sestupném směru je zobrazena na obr. A.2, rámec upstreamu je obdobný. SDU SDU SDU SDU SDU SDU fragment H XGEM XGEM datová oblast H datová oblast.. H XGEM rámec XGEM datová oblast FS datová část FS datová část FS záhlaví FS datová část FS patička FS rámec FS rámec FEC data P FEC data P FEC data P FEC data P FEC kódové slovo PSBd PHY datová část Bity na fyzické vrstvě H - XGEM záhlaví P - FEC parita PHY rámec 125 µs Obr. A.2: Tvorba rámce v sestupném směru. Základní jednotkou NGPON-2 je tedy XGEM rámec, který je neměnný nezávisle na směru přenosu. Skládá se z fixního záhlaví (header) o délce 8B a datového pole (payload) o proměnlivé délce. Podoba rámce je zobrazena na obr. A.3. 68

70 Záhlaví (header) Datová oblast (payload) PLI 14b Key Index 2b XGEM Port-ID 16b Options 18b LF 1b HEC 13b Obr. A.3: Formát XGEM rámce a polí jeho hlavičky. Pro tuto úlohu je nejdůležitějším polem XGEM rámce pole XGEM port-id, definující XGEM port příjemce zprávy. Tyto porty jsou přidělovány jednotkám ONU dynamicky, ovšem základní hodnota je shodná s hodnotou identifikátoru ONU-ID. Další pole XGEM rámce definují velikost datové části, zda je užito šifrování, fragmentaci nebo jsou využity k detekci a opravě chyb při přenosu. Z důvodu, že je využíváno struktury P2MP je nutnou funkcí jednotky OLT řízení přístupu k médiu, tedy řízení vysílání jednotek na straně koncových účastníků. K tomuto účelu je v záhlaví rámců vysílaných jednotkou OLT přítomna tzv. BWmap, tedy zpráva obsahující informace o možnosti vysílání pro každou jednotku ONU. Podoba je zobrazena na obr. A.4. BWmap N x 8B Alokační struktura 1 8B Alokační struktura 2 8B.... Alokační struktura N 8B Alloc-ID 14b Flags 2b StartTime 16b GrantSize 16b FWI 1b Burst Profile 2b HEC 13b DBRu 1b PLOAMu 1b Obr. A.4: Formát položky BWmap a jednotlivých alokačních struktur. BWmap se skládá z mnoha alokačních struktur, kdy každá z nich je identifikátorem Alloc-ID přiřazena jedné ONU jednotce. Alloc-ID je přiřazeno ve fázi registrace jednotky a základní hodnota odpovídá hodnotě ONU-ID, v případě potřeby mohou být přiřazeny další Alloc-ID. Dalšími pro tuto úlohu důležitými poli alokačních struktur jsou: 69

71 StartTime pole indikující časovou informaci, kdy má být přenášen první bajt vzestupného burstu v rámci fyzického rámce, přičemž čas je měřen od počátku vzestupného fyzického rámce, kdy celkový časový úsek je rozdělen na 9720 stejnoměrných intervalů. V poli je očekávána celočíselná hodnota 0 až GrandSize pole indikující kombinovanou délku datové části na úrovni rámcové podvrstvy spolu s reportem o zaplnění vyrovnávacích pamětí (Dynamic Bandwidth Report upstream) vysílaným v dané alokaci. Stručný pohled na celkovou komunikaci + příklad Nejjednodušeji lze koncept komunikace představit pomocí obr. A.5. BWmap Sestupný rámec Výpočet BWmap Sestupný směr Záhlaví Datová část Vzestupný rámec Vzestupný směr Cyklus N-1 Cyklus N Cyklus N+1 Cyklus N+2 Jednotlivé alokace Obr. A.5: Komplexní pohled na komunikaci. Z obrázku je patrné, že sestupný rámec je tvořen tak, jak bylo zmíněno skládá se z polí záhlaví a datové části. V záhlaví je zdůrazněna BWmap nesoucí informaci o možnostech vysílání koncových stanic na účastnické straně. Je známo, že čas pro přenos k jednotlivým jednotkám je různý a závislý na vzdálenosti jednotek, proto musí dojít k synchronizaci (princip výpočtu a nastavení není předmětem této úlohy) a počátek vysílání vzestupného rámce se liší od počátku rámce sestupného. Ve směru vzestupném jednotky ONU vysílají v jim přidělených vzájemně se nepřekrývajících časových intervalech. Pro detailní popis komunikace mezi OLT a ONU je na obr. A.6 představena jednoduchá topologie, skládající se pouze z minima prvků nutných pro popis. Situace 70

72 nepopisuje registraci jednotek, počítá s funkční sítí v operačním stavu, kdy každá jednotka zná všechny své identifikátory. ONU 1 Internet OLT Multiplexer Splitter ONU 2 ONU 3 Obr. A.6: Základní topologie pro detailní popis. Popis komunikace začíná v jednotce OLT, která přijímá data ze směru veřejného internetu. Data jsou vkládána do jednotlivých XGEM rámců, kdy pole XGEM Port-ID nese informaci o příjemci daného XGEM rámce. Pro tento příklad uvažujme přidělení XGEM Port-ID 100 jednotce ONU 1. Po vytvoření sestupného rámce jednotkou OLT jsou data odeslána, multiplexována na společné médium, vydělena splittery a následně přijímána jednotkami ONU, které na základě XGEM Port-ID filtrují přijaté XGEM rámce. Zmíněná jednotka ONU 1 přijme pouze rámce se zmíněným identifikátorem o hodnotě 100. Zmíněná ONU také filtruje alokační struktury BWmapy a přijme tu, která má v poli Alloc-ID stejnou hodnotu, kterou má přidělenu. Po uložení a analýze dat jednotka získá informace z polí: StartTime definuje počátek fyzického vzestupného rámce, zvolena hodnota 0 (počátek vzestupného rámce). GrantSize definuje velikost burstu, volena hodnota 200, což při rychlosti upstreamu 9,95328 Gb/s znamená přenos dat o velikosti 3200 B ( B). V definované alokaci se začátkem v intervalu 0 vzestupného fyzického rámce začne jednotka ONU vysílat svá data vložená do XGEM rámců s identifikátorem XGEM Port-ID o hodnotě 100. Velikost dat je dána 3200 B, k alokaci o této velikosti je přidáno záhlaví a patička. Po přijetí dat jednotkou OLT je zkontrolován původce těchto dat a zda této jednotce byla přidělena alokace, ve které vysílala. V případě příjmu dat lehce mimo danou alokaci jednotka OLT v dalších cyklech sesynchronizuje jednotku ONU. Popsaná komunikace probíhala mezi jednotkami OLT a ONU 1 uvedené topologie, princip je ovšem stejný pro všechny OLT CT a ONU jednotky, které se liší pouze rozdílnými identifikátory a užitými λ. 71

73 Přidělování grantů Přístup ke společnému médiu je řízen jednotkou OLT, která může přidělovat granty, tedy časové intervaly pro vysílání ve vzestupném směru staticky nebo dynamicky. V rámci této úlohy budou představeny obě možnosti přidělování, kdy dynamický mód přidělování bude zastoupen algoritmem Max-Min Fair. Nejjednodušším typem přidělování grantů je metoda statického přidělení. Jedná se o metodu neadaptivního přidělování, kdy jednotlivé granty jednotek mohou být buďto předem nastaveny nebo jsou vypočítány z aktuálního stavu sítě. Dokážete uvést výhody a nevýhody statického přidělování? Dynamické přidělování s označením DBA (Dynamic Bandwidth Allocation) je propracovanějším typem alokace grantů. Jedná se o alokaci grantů na základě: Sledování obsahu rámců (Traffic monitoring (TM)) OLT sleduje, zda rámce vysílané danému Alloc-ID jsou rámce výplně (idle frames) či nikoliv a srovnává získané informace s BWmap(ou). ONU nevysílá reporty o svém stavu, pouze v případě prázdných vyrovnávacích pamětí (žádná relevantní data k odeslání) vysílá rámce výplně. Reportování koncových jednotek (Status reporting (SR)) ONU jednotka po dotazu z OLT vyšle report o obsazenosti svých vyrovnávacích pamětí pro zmíněné Alloc-ID. Kombinace TM + SR. Oproti statické alokaci je možno připojit díky zvýšení efektivitě přidělování grantů více jednotek, kterým jsou alokace přidělovány. Dokážete vyjmenovat další výhody či nevýhody dynamického přidělování grantů? Max-Min Fair DBA Tento algoritmus byl původně navržen pro GPON sítě, jeho úpravou došlo k nasazení také v pozdějších standardech. Algoritmus umožňuje zisk informací o stavu vyrovnávacích pamětí metodou TM i SR. Polynomiální komplexnost algoritmu umožňuje, aby byl výpočet a následné přidělení grantů provedeno maximálně do 2 ms od zisku informací, což je hranice, která by neměla být překročena, aby bylo dosaženo požadované adaptability systému na změnu. Pravidla pro přidělování algoritmem: Přidělená šířka pásma není nikdy větší než udávaná velikost fronty jednotkou ONU. ONU jednotky, jejichž požadavky nejsou nasyceny získají stejný podíl zbývající šířky pásma. 72

74 Algoritmus přidělování šířky pásma pro jednotku n v rámci t s velikostí GrantSize má průběh: Inicializace: Setřízení ONU dle požadované kapacity Rt n v dané množině S. Wt n jednotlivých ONU nastaveno na Výpočet dostupné šířky pásma dle [5]: v rostoucím pořadí B L = BW m k=1 Wt k, (A.1) S kde BW je šířka pásma pro vzestupné bursty (celková šířka pásma - záhlaví a ochranné intervaly), m je celkový počet ONU a S je počet elementů v S. 2. Pro všechny ONU dojde k obnovení GrantSize W n t dle [5]: W k t = min{r k t, W k t + B L }. (A.2) 3. Odstranění všech ONU z S, které byly nasyceny [5] W k t = R k t. (A.3) 4. Pokud S 0 opakuj algoritmus od kroku 1, jinak algoritmus ukonči. A.3 Pracovní postup V rámci vytváření projektu nepoužívejte vlastní názvy prvků a scénářů, hodnoty atributů atd. Je také doporučeno mít představu o následujících krocích. Dodržením výše zmíněného předejdete zbytečnému zdržování se hledáním chyb nebo příslušných nabídek. Vytvoření projektu Spusťte OPNET Modeler Vytvořte nový projekt: File New Project. Project name volte v časovém formátu HH_DD_MM (hodina_datum_měsíc). Scenario name zvolte Static. Zaškrtněte Use Startup Wizard when creating new scenarios, potvrďte. Poté volte: Create empty scenario Campus 50x25 km Next Finish. Vložení potřebných modelů Pro tuto úlohu byly vytvořeny dodatečné modely, které je nutno vložit do palety objektů. 73

75 Zobrazenou paletu přepněte na ikonickou tlačítkem Open Palette In Icon view (levý horní roh okna). Zvolte Configure Palette... a stiskněte Node Models. Zde nastavte status included pro: Uloha_mux (multiplexer), Uloha_olt (OLT), Uloha_onu (ONU), Uloha_splitter (splitter 1:16) a potvrďte. Zvolte Link Models, nastavte included pro model Uloha_linka, potvrďte. Aktuální okno znova potvrďte a uložte paletu pod vhodným jménem, paletu nezavírejte. Tvorba topologie Nyní je vše připraveno na vytvoření topologie, která bude obdobou topologie uvedené v teoretickém základu. Z vytvořené palety nyní umístěte na pracovní plochu: 4 OLT, multiplexer, splitter 1:16, 8 ONU. Změnu vkládaného uzlu (modelu) lze provést výběrem jiného uzlu z palety nebo zrušením vkládání stiskem pravého tlačítka myši. Po umístění uzlů na pracovní plochu proveďte přejmenování (pozor na volbu nesprávných uzlů) a umístění tak, jak je tomu na obr. A.7. Přejmenování provedete stiskem pravého tlačítka myši na uzel, volba Set Name. Obr. A.7: Topologie úlohy Následně je nutno propojit uzly pomocí modelu Uloha_linka. Při propojování dodržujte uvedené pořadí a kontrolujte připojení správných vstupních a výstupních rozhraní. 1. Propojte multiplexer a splitter. Proveďte kontrolu propojených rozhraní klikem pravého tlačítka myši na linku, volba Edit Attributes. Zkontrolujte údaje 74

76 dle tab. A.1 (rozhraní a a b mohou být přehozeny, záleží na směru, kterým byly uzly propojeny). Tab. A.1: Nastavení linky mux splitter. transmitter a receiver a transmitter b receiver b mux.down_tx mux.up_rx splitter.in_tx splitter.in_rx 2. Propojte jednotky OLT s multiplexerem. Proveďte kontrolu dle tab. A.2, jednotlivá propojení se budou lišit názvem OLT a indexem rozhraní na straně multiplexeru. Tab. A.2: Nastavení linky OLT 1 mux. transmitter a receiver a transmitter b receiver b OLT 1.tx OLT 1.rx mux.up_tx_1 mux.down_rx_1 3. Propojte splitter s jednotkami ONU. Proveďte kontrolu dle tab. A.3. Jednotlivá propojení se budou lišit názvem ONU a indexem rozhraní na straně splitteru. Tab. A.3: Nastavení linky splitter ONU 1. transmitter a receiver a transmitter b receiver b splitter.out_tx_1 splitter.out_rx_1 ONU 1.tx ONU 1.rx Nastavení uzlů topologie Jelikož byly modely navrženy pro tuto úlohu, není třeba všechny atributy nastavovat, stačí provést kontrolu nebo v případě dalších scénářů hodnoty upravit. Před nastavením jakýchkoliv uzlů je potřeba zvolit rozsahy identifikátorů. Vysvětlení funkcí identifikátorů je uvedeno níže. 75

77 OLT Basic ONU-ID atribut užívaný ve více uzlech, definuje rozsah použitých ONU-ID pro dané OLT. Nepřímo definuje také použitou vlnovou délku. Hodnoty v rozsahu Basic ONU-ID, Basic ONU-ID+7 nesmí být u jiných OLT použity. Guard Time atribut definující časovou mezeru v sekundách mezi vysíláními jednotek ONU ve vzestupném směru. Ponechte hodnotu atributu 0,003 (pokud není řečeno jinak). Mode atribut využívaný pro volbu přiřazování. Statické přiřazování=0, dynamické přiřazování=1. ONU 1 až ONU 8 atribut definující stav přiřazené jednotky. Aktivní jednotka=0, neaktivní jednotka=1. Offset atribut definující posun vzestupného rámce od sestupného. Ponechte v základním nastavení. Period atribut definuje velikost fyzického rámce. Navrhovaná hodnota 0,125 (tisícinásobně vyšší oproti standardu z důvodu zobrazení výsledků). Plne prirazeni využití atributu je možné pouze u dynamického přidělování v případě malých požadavků ze strany ONU jednotek. Start time atribut definující čas zapojení se jednotky do simulace. Ponechte hodnotu 0. Zvolte jednotku OLT 1, pravé tlačítko myši a Edit Attributes. Nastavte atributy dle tab. A.4. Nastavení proveďte prozatím pouze pro jednotku OLT 1. Ostatní OLT jednotky označte a vypněte stiskem tlačítka. Tab. A.4: Nastavení pro OLT 1. Atribut Hodnota Basic ONU-ID 100 Mode 0 (statické přidělování) ONU 1 až ONU 8 1 (všechny jednotky zapnuty) Nastavením atributu Basic ONU-ID na hodnotu 100 je umožněno přiřadit k OLT jednotky s ONU-ID o hodnotě 100, 101, 102,..., 106,

78 Multiplexer Pro správnou funkci multiplexeru je nutno definovat atributy Basic ONU-ID jednotlivých OLT jednotek ( vlnové délky těchto jednotek). Proveďte nastavení dle tab. A.5. Tab. A.5: Nastavení pro multiplexer. Atribut Hodnota OLT 1 ID 100 OLT 2 ID 200 OLT 3 ID 300 OLT 4 ID 400 Splitter Tento uzel není třeba nijak nastavovat. ONU U těchto jednotek je nejdůležitějším atributem ONU-ID. Nastavením hodnoty tohoto atributu z rozsahu OLT je ONU u této OLT zaregistrována. Pro přiřazení všech 8 jednotek ONU k OLT 1 využijte následující tab. A.6. Tab. A.6: Nastavení atributu ONU-ID uzlů ONU 1 až ONU 8. Jednotka ONU 1 ONU 2 ONU 3 ONU 4 ONU 5 ONU 6 ONU 7 ONU 8 Hodnota Spuštění simulace pro scénář Static Nyní je v topologii nastaveno téměř vše potřebné k tomu, aby mohla být simulace spuštěna. Posledním bodem je nastavení záznamu simulace tak, aby bylo možno zobrazit výsledky. Výsledkem této simulace má být zobrazení nepřekrývajícího se vysílání připojených ONU jednotek k jednotce OLT 1, je potřeba zapnout snímání aktivity na médiu směrem od ONU jednotek. 77

79 Pro zapnutí zaznamenávání je potřeba: Kliknout pravým tlačítkem myši na pracovní plochu, zvolit Choose Individual DES Statistics Link Statistics low-level point-to-point a zaškrtnout busy - -> a busy <- -, volbu potvrďte OK. Případný dotaz na vytvoření low-level statistiky potvrďte Yes. Pro spuštění samotné simulace: Spusťte simulaci volbou DES Configure/Run Discrete Event Simulation... nebo stiskem tlačítka. Duration, tedy délku simulace volte 1 s, poli Seed ponechte hodnotu 128. Potvrďte tlačítkem Run. Po dokončení simulace dojde k vypsání Simulation Completed v části Simulation progress, neměly by být vypsány žádné chyby (červeně). Stiskem tlačítka Simulation Console zobrazte konzoli, která obsahuje výpisy, které slouží ke kontrole grafických výsledků simulace. Z konzole ověřte: Jsou-li všechny jednotky opravdu aktivní. Zda dochází k nepřekrývajícímu se vysílání jednotek (alespoň dle jejich hlášení, kdy budou vysílat). Zavřete konzoli i simulační okno. Pro zobrazení grafu jako na obr. A.8: Klikněte pravým tlačítkem na pracovní plochu View Results. V nově otevřeném okně rozbalte Object Statistics Campus Network. Pro linky splitter ONU 1 až splitter ONU 8 rozbalte nabídku lowlevel point-to-point a zvolte busy <- - (šipka musí ukazovat od ONU ke splitteru). V pravé části obrazovky volte Overlaid Statistics, zobrazení přepněte z As Is na time_window. Vložte parametry min_time=0, max_time=0.5 (použijte tečku, ne čárku!). Stiskněte tlačítko Show. Po zobrazení grafu v samostatném okně klikněte pravým do hodnot osy X Time Axis Seconds. Úpravu grafu proveďte klikem pravým do oblasti grafu Draw Style Square Wave. Zapněte také 3D zobrazení pomocí Show 3D Depth. Srovnejte vámi získaný graf simulace s grafem na obr. A.8. Pokud jste nezískali simulací stejný graf, neztrácejte čas a zkontrolujte si vytvořenou topologii a nastavení jednotek. Konzultujte mezi sebou (po dokončení úlohy i s vyučujícím) výsledný graf. 78

80 Obr. A.8: Výsledný graf scénáře Static Zobrazený graf zmenšete, okno Results Browser zavřete. Úprava a spuštění simulace pro scénář Static 2 Pro simulaci stejné topologie s jiným přiřazením jednotek stačí vytvořit kopii již existujícího scénáře, přenastavit jednotlivé uzly a spustit simulaci. Zmíněné provedeme takto: Z lišty volte Scenarios Duplicate Scenario..., nový scénář pojmenujte Static 2. Proveďte aktivaci uzlu OLT 2 stiskem. V OLT 2 nastavte atributy dle tab. A.7 a aktivujte první 3 ONU jednotky. Tab. A.7: Nastavení pro OLT 2. Atribut Hodnota Basic ONU-ID 200 Mode 0 V OLT 1 deaktivujte uzly ONU 6 až ONU 8. Přiřaďte jednotky ONU 6 až ONU 8 k OLT 2 pomocí ONU-ID Spusťte simulaci, její délku i počáteční nastavení ponechte v původním stavu. Po dokončení simulace analyzujte konzoli a zobrazte stejný graf jako v prvním scénáři. K čemu v simulaci dochází? Díky jaké technice je toto umožněno? 79

Přístupové sítě. Druhy optických a hybridních sítí. Uspořádání metalických přípojek. Rozdělení optických přístupových sítí. FTTEx

Přístupové sítě. Druhy optických a hybridních sítí. Uspořádání metalických přípojek. Rozdělení optických přístupových sítí. FTTEx Přístupové sítě Ing. Jiří Vodrážka, Ph.D. Katedra telekomunikační techniky ČVUT-FEL vodrazka@feld.cvut.cz http://access.feld.cvut.cz Druhy optických a hybridních sítí Podle místa ukončení optického vlákna

Více

Zabezpečení pasivních optických sítí při aplikaci asymetrických rozbočovačů

Zabezpečení pasivních optických sítí při aplikaci asymetrických rozbočovačů Zabezpečení pasivních optických sítí při aplikaci asymetrických rozbočovačů Pavel Lafata lafatpav@fel.cvut.cz Katedra telekomunikační techniky, FEL, ČVUT v Praze Pasivní optické přístupové sítě PON = Passive

Více

Zátěžové testy GPON, XG-PON, XGS-PON, NG-PON

Zátěžové testy GPON, XG-PON, XGS-PON, NG-PON Jednostupňové Splitrování Vícestupňové Splitrování Zátěžové testy GPON, XG-PON, XGS-PON, NG-PON Brno, 28. 3. 2019 Josef Beran, Peter Potrok Parametry GPON Útlumové třídy PON Maximální rozbočovací poměr

Více

FTTH PON topologie. Ing. Martin Ťupa. 14.03.2014 - Brno. Passive Optical Network EPON = GEPON GPON. martin.tupa@profiber.cz www.profiber.eu.

FTTH PON topologie. Ing. Martin Ťupa. 14.03.2014 - Brno. Passive Optical Network EPON = GEPON GPON. martin.tupa@profiber.cz www.profiber.eu. 14.03.2014 - Brno Ing. Martin Ťupa martin.tupa@profiber.cz www.profiber.eu Passive Optical Network FTTH PON topologie EPON = GEPON GPON Internet Central Office OLT Optical Link Terminal 1490 nm 1310 nm

Více

EXTRAKT z mezinárodní normy

EXTRAKT z mezinárodní normy EXTRAKT z mezinárodní normy Extrakt nenahrazuje samotnou technickou normu, je pouze informativním materiálem o normě ICS: 03.220.01; 35.240.60 Komunikační infrastruktura pro pozemní mobilní zařízení (CALM)

Více

100G konečně realitou. Co a proč měřit na úrovni 100G

100G konečně realitou. Co a proč měřit na úrovni 100G 100G konečně realitou Co a proč měřit na úrovni 100G Nárůst objemu přenášených dat Jak jsme dosud zvyšovali kapacitu - SDM více vláken, stejná rychlost (ale vyšší celkové náklady na instalaci a správu

Více

Znáte technologie pasivních optických sítí?

Znáte technologie pasivních optických sítí? Optical Fibre Apparatus Znáte technologie pasivních optických sítí? Sítě FTTx v roce 2012 Brno 15. 16. 03. 2012 Martin Horák OFA s.r.o. Obsah Obecné vlastnosti PON Standardy PON sítí Vrstvový model a multiplexace

Více

Základy počítačových sítí Model počítačové sítě, protokoly

Základy počítačových sítí Model počítačové sítě, protokoly Základy počítačových sítí Model počítačové sítě, protokoly Základy počítačových sítí Lekce Ing. Jiří ledvina, CSc Úvod - protokoly pravidla podle kterých síťové komponenty vzájemně komunikují představují

Více

Optoelektronika III Návrh optické přístupové sítě EPON v prostředí Optiwave OptiSystem

Optoelektronika III Návrh optické přístupové sítě EPON v prostředí Optiwave OptiSystem Fakulta elektrotechniky a informatiky, VŠB-TU Ostrava Optoelektronika III Návrh optické přístupové sítě EPON v prostředí Optiwave OptiSystem Datum: 4.1.2012 Autor: Ing. Petr Koudelka, Ing. Jan Látal Kontakt:

Více

PON (Passive Optical Network)

PON (Passive Optical Network) Ještě před několika lety se o optické síti hovořilo hlavně v souvislosti s výstavbou páteřních spojů. V dnešní době dochází ke dvěma základním momentům, které tento pohled mění: - snížení ceny optických

Více

12. Virtuální sítě (VLAN) VLAN. Počítačové sítě I. 1 (7) KST/IPS1. Studijní cíl. Základní seznámení se sítěmi VLAN. Doba nutná k nastudování

12. Virtuální sítě (VLAN) VLAN. Počítačové sítě I. 1 (7) KST/IPS1. Studijní cíl. Základní seznámení se sítěmi VLAN. Doba nutná k nastudování 12. Virtuální sítě (VLAN) Studijní cíl Základní seznámení se sítěmi VLAN. Doba nutná k nastudování 1 hodina VLAN Virtuální síť bývá definována jako logický segment LAN, který spojuje koncové uzly, které

Více

CAL (CAN Application Layer) a CANopen

CAL (CAN Application Layer) a CANopen CAL (CAN Application Layer) a CANopen J. Novák České vysoké učení technické v Praze Fakulta elektrotechnická Katedra měření Průmyslový distribuovaný systém na bázi sběrnice CAN Pressure sensor Stepper

Více

EXTRAKT z české technické normy

EXTRAKT z české technické normy EXTRAKT z české technické normy Extrakt nenahrazuje samotnou technickou normu, je pouze informativním ICS 35.240.60 materiálem o normě. Dopravní telematika Vyhrazené spojení krátkého rozsahu (DSRC) Datová

Více

Projekt IEEE 802, normy ISO 8802

Projekt IEEE 802, normy ISO 8802 Projekt IEEE 802, normy ISO 8802 Petr Grygárek rek 1 Normalizace v LAN IEEE: normalizace aktuálního stavu lokálních sítí (od roku 1982) Stále se vyvíjejí nové specifikace ISO později převzalo jako normu

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV TELEKOMUNIKACÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF TELECOMMUNICATIONS

Více

Local Interconnect Network - LIN

Local Interconnect Network - LIN J. Novák Czech Technical University in Prague Faculty of Electrical Engineering Dept. Of Measurement Distributed Systems in Vehicles CAN LIN MOST K-line Ethernet FlexRay Základní charakteristiky nízká

Více

PROTOKOL RDS. Dotaz na stav stanice " STAV CNC Informace o stavu CNC a radiové stanice FORMÁT JEDNOTLIVÝCH ZPRÁV

PROTOKOL RDS. Dotaz na stav stanice  STAV CNC Informace o stavu CNC a radiové stanice FORMÁT JEDNOTLIVÝCH ZPRÁV PROTOKOL RDS Rádiový modem komunikuje s připojeným zařízením po sériové lince. Standardní protokol komunikace je jednoduchý. Data, která mají být sítí přenesena, je třeba opatřit hlavičkou a kontrolním

Více

Perspektivy fixních telekomunikačních sítí. Ing. Jiří Vodrážka, Ph.D. Katedra telekomunikační techniky FEL ČVUT v Praze

Perspektivy fixních telekomunikačních sítí. Ing. Jiří Vodrážka, Ph.D. Katedra telekomunikační techniky FEL ČVUT v Praze Perspektivy fixních telekomunikačních sítí Ing. Jiří Vodrážka, Ph.D. Katedra telekomunikační techniky FEL ČVUT v Praze vodrazka@fel.cvut.cz 1 Trendy v páteřních sítích Nárůst přenosové kapacity n x 1 10

Více

ZÁKLADNÍ METODY REFLEKTOMETRIE

ZÁKLADNÍ METODY REFLEKTOMETRIE VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Počítačové sítě Implementace RM OSI. Počítačové sítě - Vrstva datových spojů 1

Počítačové sítě Implementace RM OSI. Počítačové sítě - Vrstva datových spojů 1 Implementace RM OSI Počítačové sítě - 1 Protokoly, architektura Otevřené systémy Otevřené pro další standardizaci Definují širší kategorie funkcí pro každou funkční úroveň Nedefinují způsob implementace

Více

Počítačové sítě pro V3.x Teoretická průprava II. Ing. František Kovařík

Počítačové sítě pro V3.x Teoretická průprava II. Ing. František Kovařík Počítačové sítě pro V3.x Teoretická průprava II. Ing. František Kovařík SŠ IT a SP, Brno frantisek.kovarik@sspbrno.cz Model TCP/IP - IP vrstva 2 Obsah 3. bloku IPv4 záhlaví, IP adresy ARP/RARP, ICMP, IGMP,

Více

RLC Praha a.s. GPON sítě a jak dál? Jaromír Šíma

RLC Praha a.s. GPON sítě a jak dál? Jaromír Šíma RLC Praha a.s. GPON sítě a jak dál? Jaromír Šíma Sítě FTTx v roce 2013 RLC Praha a.s. PON = optická sběrnice Metalická sběrnice Koaxiální kabel Optická sběrnice Splitter GPON specifikace ITU-T Recommendation

Více

Standard IEEE

Standard IEEE Standard IEEE 802.11 Semestrální práce z předmětu Mobilní komunikace Jméno: Alena Křivská Datum: 15.5.2005 Standard IEEE 802.11 a jeho revize V roce 1997 publikoval mezinárodní standardizační institut

Více

Kódování signálu. Problémy při návrhu linkové úrovně. Úvod do počítačových sítí. Linková úroveň

Kódování signálu. Problémy při návrhu linkové úrovně. Úvod do počítačových sítí. Linková úroveň Kódování signálu Obecné schema Kódování NRZ (bez návratu k nule) NRZ L NRZ S, NRZ - M Kódování RZ (s návratem k nule) Kódování dvojí fází Manchester (přímý, nepřímý) Diferenciální Manchester 25.10.2006

Více

DWDM-PON VSTUP DO PŘÍSTUPOVÝCH SÍTÍ

DWDM-PON VSTUP DO PŘÍSTUPOVÝCH SÍTÍ WDM PON je DWDM-PON EXPERIMENTÁLNÍ PRACOVIŠTĚ WDM PON na VŠB v Ostravě 10.3.2011 Miroslav Hladký, Petr Šiška Miroslav.hladky@profiber.cz www.profiber.eu DWDM-PON VSTUP DO PŘÍSTUPOVÝCH SÍTÍ Point to Point

Více

Profilová část maturitní zkoušky 2013/2014

Profilová část maturitní zkoušky 2013/2014 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2013/2014 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 78-42-M/01 Technické lyceum Předmět: TECHNIKA

Více

Definice pojmů a přehled rozsahu služby

Definice pojmů a přehled rozsahu služby PŘÍLOHA 1 Definice pojmů a přehled rozsahu služby SMLOUVY o přístupu k infrastruktuře sítě společnosti využívající technologie Carrier IP Stream mezi společnostmi a Poskytovatelem 1. Definice základních

Více

Profilová část maturitní zkoušky 2017/2018

Profilová část maturitní zkoušky 2017/2018 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2017/2018 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 78-42-M/01 Technické lyceum Předmět: TECHNIKA

Více

Počítačové sítě Datový spoj

Počítačové sítě Datový spoj (Data Link) organizovaný komunikační kanál Datové jednotky rámce (frames) indikátory začátku a konce signálu, režijní informace (identifikátor zdroje a cíle, řídící informace, informace o stavu spoje,

Více

EXTRAKT z technické normy ISO

EXTRAKT z technické normy ISO EXTRAKT z technické normy ISO Extrakt nenahrazuje samotnou technickou normu, je pouze informativním materiálem o normě. Inteligentní dopravní systémy Kooperativní ITS Zkušební architektura ISO/TS 20026

Více

Systémy pro sběr a přenos dat

Systémy pro sběr a přenos dat Systémy pro sběr a přenos dat propojování distribuovaných systémů modely Klient/Server, Producent/Konzument koncept VFD (Virtual Field Device) Propojování distribuovaných systémů Používá se pojem internetworking

Více

7. Aplikační vrstva. Aplikační vrstva. Počítačové sítě I. 1 (5) KST/IPS1. Studijní cíl. Představíme si funkci aplikační vrstvy a jednotlivé protokoly.

7. Aplikační vrstva. Aplikační vrstva. Počítačové sítě I. 1 (5) KST/IPS1. Studijní cíl. Představíme si funkci aplikační vrstvy a jednotlivé protokoly. 7. Aplikační vrstva Studijní cíl Představíme si funkci aplikační vrstvy a jednotlivé protokoly. Doba nutná k nastudování 2 hodiny Aplikační vrstva Účelem aplikační vrstvy je poskytnout aplikačním procesům

Více

Počítačové sítě Teoretická průprava II. Ing. František Kovařík

Počítačové sítě Teoretická průprava II. Ing. František Kovařík Počítačové sítě Teoretická průprava II. Ing. František Kovařík SPŠE a IT Brno frantisek.kovarik@sspbrno.cz ISO_OSI 2 Obsah 1. bloku Vrstvový model Virtuální/fyzická komunikace Režie přenosu Způsob přenosu

Více

4. Síťová vrstva. Síťová vrstva. Počítačové sítě I. 1 (6) KST/IPS1. Studijní cíl. Představíme si funkci síťové vrstvy a jednotlivé protokoly.

4. Síťová vrstva. Síťová vrstva. Počítačové sítě I. 1 (6) KST/IPS1. Studijní cíl. Představíme si funkci síťové vrstvy a jednotlivé protokoly. 4. Síťová vrstva Studijní cíl Představíme si funkci síťové vrstvy a jednotlivé protokoly. Doba nutná k nastudování 3 hodiny Síťová vrstva Síťová vrstva zajišťuje směrování a poskytuje jediné síťové rozhraní

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ. Fakulta elektrotechniky a komunikačních technologií BAKALÁŘSKÁ PRÁCE

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ. Fakulta elektrotechniky a komunikačních technologií BAKALÁŘSKÁ PRÁCE VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta elektrotechniky a komunikačních technologií BAKALÁŘSKÁ PRÁCE Brno, 2016 Klára Moravcová VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY

Více

Přístupové sítě nové generace - NGA. Jiří Vodrážka

Přístupové sítě nové generace - NGA. Jiří Vodrážka Přístupové sítě nové generace - NGA Jiří Vodrážka Definice NGA Co jsou přístupové sítě nové generace? Doporučení Komise 2010/572/EU: kabelové přístupové sítě, které sestávají zcela nebo zčásti z optických

Více

3. Linková vrstva. Linková (spojová) vrstva. Počítačové sítě I. 1 (5) KST/IPS1. Studijní cíl

3. Linková vrstva. Linková (spojová) vrstva. Počítačové sítě I. 1 (5) KST/IPS1. Studijní cíl 3. Linková vrstva Studijní cíl Představíme si funkci linkové vrstvy. Popíšeme její dvě podvrstvy, způsoby adresace, jednotlivé položky rámce. Doba nutná k nastudování 2 hodiny Linková (spojová) vrstva

Více

Počítačové sítě. Lekce 4: Síťová architektura TCP/IP

Počítačové sítě. Lekce 4: Síťová architektura TCP/IP Počítačové sítě Lekce 4: Síťová architektura TCP/IP Co je TCP/IP? V úzkém slova smyslu je to sada protokolů používaných v počítačích sítích s počítači na bázi Unixu: TCP = Transmission Control Protocol

Více

SAS (Single-Attachment Station) - s jednou dvojicí konektorů, tj. pro použití pouze na jednoduchém kruhu.

SAS (Single-Attachment Station) - s jednou dvojicí konektorů, tj. pro použití pouze na jednoduchém kruhu. 4.1.1 FDDI FDDI je normalizováno normou ISO 9314. FDDI je lokální síť tvořící kruh. Jednotlivé stanice jsou propojeny do kruhu. K propojení stanic se používá optické vlákno. Lidovější variantou FDDI je

Více

EXTRAKT z české technické normy

EXTRAKT z české technické normy EXTRAKT z české technické normy Extrakt nenahrazuje samotnou technickou normu, je pouze informativním ICS 35.240.60 materiálem o normě. Dopravní telematika Vyhrazené spojení krátkého rozsahu (DSRC) Aplikační

Více

STANDARDY POČÍTAČOVÝCH SÍTÍ

STANDARDY POČÍTAČOVÝCH SÍTÍ STANDARDY POČÍTAČOVÝCH SÍTÍ Standard = norma; předpis; požadavek na vlastnosti, chování a parametry, které platí pro všechny stejně. Počítačová síť musí zajistit bezproblémovou komunikaci mezi připojenými

Více

CCNA I. 3. Connecting to the Network. CCNA I.: 3. Connecting to the network

CCNA I. 3. Connecting to the Network. CCNA I.: 3. Connecting to the network CCNA I. 3. Connecting to the Network Základní pojmy Konvergence sítí (telefony, TV, PC, GSM) SOHO (Small Office and Home Office) nabídka a prodej produktů evidence objednávek komunikace se zákazníky zábava

Více

6. Transportní vrstva

6. Transportní vrstva 6. Transportní vrstva Studijní cíl Představíme si funkci transportní vrstvy. Podrobněji popíšeme protokoly TCP a UDP. Doba nutná k nastudování 3 hodiny Transportní vrstva Transportní vrstva odpovídá v

Více

Představíme základy bezdrátových sítí. Popíšeme jednotlivé typy sítí a zabezpečení.

Představíme základy bezdrátových sítí. Popíšeme jednotlivé typy sítí a zabezpečení. 10. Bezdrátové sítě Studijní cíl Představíme základy bezdrátových sítí. Popíšeme jednotlivé typy sítí a zabezpečení. Doba nutná k nastudování 1,5 hodiny Bezdrátové komunikační technologie Uvedená kapitola

Více

PROJEKT ŘEMESLO - TRADICE A BUDOUCNOST Číslo projektu: CZ.1.07/1.1.38/ PŘEDMĚT PRÁCE S POČÍTAČEM

PROJEKT ŘEMESLO - TRADICE A BUDOUCNOST Číslo projektu: CZ.1.07/1.1.38/ PŘEDMĚT PRÁCE S POČÍTAČEM PROJEKT ŘEMESLO - TRADICE A BUDOUCNOST Číslo projektu: CZ.1.07/1.1.38/02.0010 PŘEDMĚT PRÁCE S POČÍTAČEM Obor: Studijní obor Ročník: Druhý Zpracoval: Mgr. Fjodor Kolesnikov PROJEKT ŘEMESLO - TRADICE A BUDOUCNOST

Více

Moderní technologie linek. Zvyšování přenosové kapacity Zvyšování přenosové spolehlivosti xdsl Technologie TDMA Technologie FDMA

Moderní technologie linek. Zvyšování přenosové kapacity Zvyšování přenosové spolehlivosti xdsl Technologie TDMA Technologie FDMA Moderní technologie linek Zvyšování přenosové kapacity Zvyšování přenosové spolehlivosti xdsl Technologie TDMA Technologie FDMA Zvyšování přenosové kapacity Cílem je dosáhnout maximum fyzikálních možností

Více

TOPOLOGIE DATOVÝCH SÍTÍ

TOPOLOGIE DATOVÝCH SÍTÍ TOPOLOGIE DATOVÝCH SÍTÍ Topologie sítě charakterizuje strukturu datové sítě. Popisuje způsob, jakým jsou mezi sebou propojeny jednotlivá koncová zařízení (stanice) a toky dat mezi nimi. Topologii datových

Více

Přednáška 3. Opakovače,směrovače, mosty a síťové brány

Přednáška 3. Opakovače,směrovače, mosty a síťové brány Přednáška 3 Opakovače,směrovače, mosty a síťové brány Server a Client Server je obecné označení pro proces nebo systém, který poskytuje nějakou službu. Služba je obvykle realizována některým aplikačním

Více

Rozvoj FTTx v ČR. FTTx Nový úkaz v ČR: ze země rostou FTTH PON! Zdroj: ČTÚ 2011/09. Jan Brouček,

Rozvoj FTTx v ČR. FTTx Nový úkaz v ČR: ze země rostou FTTH PON! Zdroj: ČTÚ 2011/09. Jan Brouček, WWW.PROFIBER.EU Nový úkaz v ČR: ze země rostou FTTH PON! Jan Brouček, info@profiber.eu www.profiber.eu Zdroj: ČTÚ 2011/09 Rozvoj FTTx v ČR FTTx 1 Rozvoj FTTx v ČR Zdroj: ČTÚ 2011/09 Rok 2005 až 2010, Zdroj:

Více

Distribuované systémy a počítačové sítě

Distribuované systémy a počítačové sítě Distribuované systémy a počítačové sítě propojování distribuovaných systémů modely Klient/Server, Producent/Konzument koncept VFD (Virtual Field Device) Propojování distribuovaných systémů Používá se pojem

Více

POPIS STANDARDU CEN TC278/WG4. 1 z 5. Oblast: TTI. Zkrácený název: Zprávy přes CN 4. Norma číslo:

POPIS STANDARDU CEN TC278/WG4. 1 z 5. Oblast: TTI. Zkrácený název: Zprávy přes CN 4. Norma číslo: POPIS STANDARDU CEN TC278/WG4 Oblast: TTI Zkrácený název: Zprávy přes CN 4 Norma číslo: 14821-4 Norma název (en): Traffic and Traveller Information (TTI) TTI messages via cellular networks Part 4: Service-independent

Více

CWDM CrossConnect pro Datacentra

CWDM CrossConnect pro Datacentra CrossConnect CrossConnect pro Datacentra CrossConnect system pro datová centra je založen na využití technologie vlnového multiplexu pro přenos na krátké vzdálenosti. Díky použití technologie je možné

Více

MPLS MPLS. Label. Switching) Michal Petřík -

MPLS MPLS. Label. Switching) Michal Petřík - MPLS (MultiProtocol Label Switching) Osnova prezentace: Technologie MPLS Struktura MPLS sítě MPLS a VPN G-MPLS Dotazy 2 / 21 Vznik MPLS: Ipsilon Networks (IP switching) pouze pro ATM Cisco systems, inc.

Více

Metody multiplexování, přenosové systémy PDH a SDH

Metody multiplexování, přenosové systémy PDH a SDH Metody multiplexování, přenosové systémy PDH a SDH KIV/PD Přenos dat Martin Šimek O čem přednáška je? 2 Vzorkování lidského hlasu Multiplexace kanálů PDH SDH Digitalizace lidského hlasu 3 Při telefonním

Více

Propojování sítí,, aktivní prvky a jejich principy

Propojování sítí,, aktivní prvky a jejich principy Propojování sítí,, aktivní prvky a jejich principy Petr Grygárek 1 Důvody propojování/rozdělování sítí zvětšení rozsahu: překonání fyzikálních omezení dosahu technologie lokální sítě propojení původně

Více

Ústav automobilního a dopravního inženýrství. Datové sběrnice CAN. Brno, Česká republika

Ústav automobilního a dopravního inženýrství. Datové sběrnice CAN. Brno, Česká republika Ústav automobilního a dopravního inženýrství Datové sběrnice CAN Brno, Česká republika Obsah Úvod Sběrnice CAN Historie sběrnice CAN Výhody Sběrnice CAN Přenos dat ve vozidle s automatickou převodovkou

Více

Skupina IEEE 802. Institute of Electrical and Electronics Engineers skupina 802: standardy pro lokální sítě. podvrstvy

Skupina IEEE 802. Institute of Electrical and Electronics Engineers skupina 802: standardy pro lokální sítě. podvrstvy Ethernet Vznik Ethernetu 1980 DIX konsorcium (Digital, Intel, Xerox) určen pro kancelářské aplikace sběrnicová topologie na koaxiálním kabelu přístup k médiu řízen CSMA/CD algoritmem přenosová rychlost

Více

Kruhové sítě LAN KIV/PD Přenos dat Martin Šimek

Kruhové sítě LAN KIV/PD Přenos dat Martin Šimek KIV/PD Kruhové sítě LAN Přenos dat Martin Šimek O čem přednáška je? 2 FDDI FDDI II FDDI, ISO 9314 3 Fiber Distributed Data Interface přenosová rychlost 100 Mb/s vhodná pro páteřní (backbone) sítě používá

Více

PŘÍLOHA 2 SMLOUVY O POSKYTOVÁNÍ KONCOVÝCH ÚSEKŮ PRONAJATÝCH OKRUHŮ. Definice a seznam zkratkových slov

PŘÍLOHA 2 SMLOUVY O POSKYTOVÁNÍ KONCOVÝCH ÚSEKŮ PRONAJATÝCH OKRUHŮ. Definice a seznam zkratkových slov PŘÍLOHA 2 SMLOUVY O POSKYTOVÁNÍ KONCOVÝCH ÚSEKŮ PRONAJATÝCH OKRUHŮ Definice a seznam zkratkových slov 1 OBSAH 1. Definice... 3 2 1. DEFINICE Následující tabulka obsahuje seznam termínů a zkratek, objevujících

Více

Adaptabilní systém pro zvýšení rychlosti a spolehlivosti přenosu dat v přenosové síti

Adaptabilní systém pro zvýšení rychlosti a spolehlivosti přenosu dat v přenosové síti 1 Adaptabilní systém pro zvýšení rychlosti a spolehlivosti přenosu dat v přenosové síti Oblast techniky V oblasti datových sítí existuje různorodost v použitých přenosových technologiích. Přenosové systémy

Více

Fakulta elektrotechnická

Fakulta elektrotechnická ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Diplomová práce 2014 Bc. Radomír Hendrych ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Katedra telekomunikační techniky Testování

Více

5. Směrování v počítačových sítích a směrovací protokoly

5. Směrování v počítačových sítích a směrovací protokoly 5. Směrování v počítačových sítích a směrovací protokoly Studijní cíl V této kapitole si představíme proces směrování IP.. Seznámení s procesem směrování na IP vrstvě a s protokoly RIP, RIPv2, EIGRP a

Více

Architektury komunikujících systémů

Architektury komunikujících systémů Architektury komunikujících systémů Referenční model ISO OSI Petr Grygárek Historická realita Alternativní (proprietární) síťové architektury Různé filosofie (koncepce) otevřené nebo uzavřené standardy

Více

Architektura protokolů

Architektura protokolů Architektura protokolů KIV/PD Přenos dat Martin Šimek O čem přednáška je? 2 co se rozumí architekturou protokolů? protokol a složky protokolu encapsulace protokolových složek ISO OSI RM Co se rozumí architekturou

Více

Měření kvality služeb - QoS

Měření kvality služeb - QoS Měření kvality služeb - QoS Ing. Martin Ťupa Měření kvality služeb Kolik protlačíte přes aktivní prvky? Kde jsou limitní hodnoty ETH spoje? Central Office Data Hlas Video House Multiservice switch Black

Více

Protokol TELNET. Schéma funkčních modulů komunikace protokolem TELNET. Telnet klient. login shell. Telnet server TCP/IP.

Protokol TELNET. Schéma funkčních modulů komunikace protokolem TELNET. Telnet klient. login shell. Telnet server TCP/IP. Protokol TELNET Schéma funkčních modulů komunikace protokolem TELNET Telnet klient Telnet server login shell terminal driver Jádro TCP/IP TCP/IP Jádro Pseudo terminal driver Uživatel u terminálu TCP spojení

Více

Identifikátor materiálu: ICT-3-03

Identifikátor materiálu: ICT-3-03 Identifikátor materiálu: ICT-3-03 Předmět Téma sady Informační a komunikační technologie Téma materiálu TCP/IP Autor Ing. Bohuslav Nepovím Anotace Student si procvičí / osvojí architekturu TCP/IP. Druh

Více

Distribuované systémy a počítačové sítě A3B38DSY

Distribuované systémy a počítačové sítě A3B38DSY Distribuované systémy a počítačové sítě A3B38DSY J. Holub, J. Novák holubjan@fel.cvut.cz, jnovak@fel.cvut.cz České vysoké učení technické v Praze Fakulta elektrotechnická Katedra měření Organizace předmětu

Více

Protokoly vrstvy datových spojů LAN Specifikace IEEE 802 pokrývá :

Protokoly vrstvy datových spojů LAN Specifikace IEEE 802 pokrývá : Protokoly vrstvy datových spojů LAN Specifikace IEEE 802 pokrývá : vrstvu fyzickou (standardy xxbasexxxx např. 100BASE TX) vrstvu datových spojů: Definice logického rozhraní specifikace IEEE 802.2 Specifikace

Více

Informační a komunikační technologie. 3. Počítačové sítě

Informační a komunikační technologie. 3. Počítačové sítě Informační a komunikační technologie 3. Počítačové sítě Studijní obor: Sociální činnost Ročník: 1 1. Základní vlastnosti 2. Technické prostředky 3. Síťová architektura 3.1. Peer-to-peer 3.2. Klient-server

Více

Protokol TELNET. Schéma funkčních modulů komunikace protokolem TELNET. Telnet klient. login shell. Telnet server TCP/IP TCP/IP.

Protokol TELNET. Schéma funkčních modulů komunikace protokolem TELNET. Telnet klient. login shell. Telnet server TCP/IP TCP/IP. Protokol TELNET Schéma funkčních modulů komunikace protokolem TELNET Telnet klient Telnet server login shell terminal driver Operační systém TCP/IP TCP spojení TCP/IP Pseudo terminal driver Operační systém

Více

Počítačová síť. je skupina počítačů (uzlů), popřípadě periferií, které jsou vzájemně propojeny tak, aby mohly mezi sebou komunikovat.

Počítačová síť. je skupina počítačů (uzlů), popřípadě periferií, které jsou vzájemně propojeny tak, aby mohly mezi sebou komunikovat. Počítačové sítě Počítačová síť je skupina počítačů (uzlů), popřípadě periferií, které jsou vzájemně propojeny tak, aby mohly mezi sebou komunikovat. Základní prvky sítě Počítače se síťovým adaptérem pracovní

Více

Fakulta elektrotechniky a komunikačních technologií Ústav radioelektroniky. prof. Ing. Stanislav Hanus, CSc v Brně

Fakulta elektrotechniky a komunikačních technologií Ústav radioelektroniky. prof. Ing. Stanislav Hanus, CSc v Brně Vysoké učení technické v Brně Fakulta elektrotechniky a komunikačních technologií Ústav radioelektroniky Autor práce: Vedoucí práce: prof. Ing. Stanislav Hanus, CSc. 3. 6. 22 v Brně Obsah Úvod Motivace

Více

Čekám na signál? (FTTH na dohled, FTTH v nedohlednu) Stav FTTH v CZ,SK

Čekám na signál? (FTTH na dohled, FTTH v nedohlednu) Stav FTTH v CZ,SK WWW.PROFIBER.EU Čekám na signál? (FTTH na dohled, FTTH v nedohlednu) Jan Brouček info@profiber.eu www.profiber.eu Stav FTTH v CZ,SK Stav v roce 2012: ČR: Ze země rostou PONky! Kdo v CZ staví PONky? cca

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV TELEKOMUNIKACÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF TELECOMMUNICATIONS

Více

PROGRAMY PRO KALKULACI ÚTLUMOVÉ BILANCE PASIVNÍCH

PROGRAMY PRO KALKULACI ÚTLUMOVÉ BILANCE PASIVNÍCH 34 INFORMATION AND COMMUNICATION TECHNOLOGIES AND SERVICES, VOL. 8, NO. 2, JUNE 20 PROGRAMY PRO KALKULACI ÚTLUMOVÉ BILANCE PASIVNÍCH OPTICKÝCH PŘÍSTUPOVÝCH SÍTÍ A JEJÍ OPTIMALIZACI Pavel LAFATA 1, Jiří

Více

3.cvičen. ení. Ing. Bc. Ivan Pravda

3.cvičen. ení. Ing. Bc. Ivan Pravda 3.cvičen ení Úvod do laboratorních měřm ěření Základní měření PCM 1.řádu - měření zkreslení Ing. Bc. Ivan Pravda Měření útlumového zkreslení - Útlumové zkreslení vyjadřuje frekvenční závislost útlumu telefonního

Více

Český telekomunikační úřad V Praze dne 3. října 2001 Sokolovská 219, Praha 9 Č.j. 26485/2001-610

Český telekomunikační úřad V Praze dne 3. října 2001 Sokolovská 219, Praha 9 Č.j. 26485/2001-610 Český telekomunikační úřad V Praze dne 3. října 2001 Sokolovská 219, Praha 9 Č.j. 26485/2001-610 Český telekomunikační úřad (dále jen Úřad ) vydává podle 95 bodu 6 písm. b) a k provedení 42 a 68 zákona

Více

FTTX - pasivní infrastruktura. František Tejkl 17.09.2014

FTTX - pasivní infrastruktura. František Tejkl 17.09.2014 FTTX - pasivní infrastruktura František Tejkl 17.09.2014 Náplň prezentace Optické vlákno - teorie, struktura a druhy vláken (SM,MM), šíření světla vláknem, přenos opt. signálů Vložný útlum a zpětný odraz

Více

íta ové sít baseband narrowband broadband

íta ové sít baseband narrowband broadband Každý signál (diskrétní i analogový) vyžaduje pro přenos určitou šířku pásma: základní pásmo baseband pro přenos signálu s jednou frekvencí (není transponován do jiné frekvence) typicky LAN úzké pásmo

Více

Model ISO - OSI. 5 až 7 - uživatelská část, 1 až 3 - síťová část

Model ISO - OSI. 5 až 7 - uživatelská část, 1 až 3 - síťová část Zatímco první čtyři vrstvy jsou poměrně exaktně definovány, zbylé tři vrstvy nemusí být striktně použity tak, jak jsou definovány podle tohoto modelu. (Příkladem, kdy nejsou v modelu použity všechny vrstvy,

Více

Přepínaný Ethernet. Virtuální sítě.

Přepínaný Ethernet. Virtuální sítě. Přepínaný Ethernet. Virtuální sítě. Petr Grygárek rek 1 Přepínaný Ethernet 2 Přepínače Chování jako mosty v topologii strom Přepínání řešeno hardwarovými prostředky (CAM) Malé zpoždění Přepínání mezi více

Více

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI 1 Architektura SCSI 2 ParalelnírozhraníSCSI Sběrnice typu multimaster. Max. 8 resp. 16 zařízení. Různé elektrické provedení SE (Single Ended) HVD (High Voltage Differential) LVD (Low Voltage Differential)

Více

Síťová vrstva. RNDr. Ing. Vladimir Smotlacha, Ph.D.

Síťová vrstva. RNDr. Ing. Vladimir Smotlacha, Ph.D. Síťová vrstva RNDr. Ing. Vladimir Smotlacha, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Vladimír Smotlacha, 2011 Počítačové sít ě BI-PSI LS

Více

Střední škola pedagogická, hotelnictví a služeb, Litoměříce, příspěvková organizace

Střední škola pedagogická, hotelnictví a služeb, Litoměříce, příspěvková organizace Střední škola pedagogická, hotelnictví a služeb, Litoměříce, příspěvková organizace Předmět: Počítačové sítě Téma: Počítačové sítě Vyučující: Ing. Milan Káža Třída: EK1 Hodina: 21-22 Číslo: III/2 4. Síťové

Více

Telekomunikační sítě Protokolové modely

Telekomunikační sítě Protokolové modely Fakulta elektrotechniky a informatiky, VŠB-TU Ostrava Telekomunikační sítě Protokolové modely Datum: 14.2.2012 Autor: Ing. Petr Machník, Ph.D. Kontakt: petr.machnik@vsb.cz Předmět: Telekomunikační sítě

Více

Počítačové sítě Datový spoj

Počítačové sítě Datový spoj (Data Link) organizovaný komunikační kanál Datové jednotky rámce(frames) indikátory začátku a konce rámce režijní informace záhlaví event. zápatí rámce (identifikátor zdroje a cíle, řídící informace, informace

Více

Architektury komunikujících systémů

Architektury komunikujících systémů Architektury komunikujících systémů Referenční model ISO OSI Petr Grygárek rek 1 Vrstvená architektura komunikujících systémů 2 Vlastnosti vrstvené architektury Cílem dekompozice problému komunikace na

Více

AS-Interface. AS-Interface. = Jednoduché systémové řešení

AS-Interface. AS-Interface. = Jednoduché systémové řešení AS-Interface = Jednoduché systémové řešení Představení technologie AS-Interface Technologie AS-Interface Přenosové vlastnosti Instalace Základní všeobecný popis Síťová topologie Princip komunikace AS-Interface

Více

Základní komunikační řetězec

Základní komunikační řetězec STŘEDNÍ PRŮMYSLOVÁ ŠKOLA NA PROSEKU EVROPSKÝ SOCIÁLNÍ FOND Základní komunikační řetězec PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI Podpora kvality výuky informačních a telekomunikačních technologií ITTEL

Více

Informační a komunikační technologie. 1.7 Počítačové sítě

Informační a komunikační technologie. 1.7 Počítačové sítě Informační a komunikační technologie 1.7 Počítačové sítě Učební obor: Kadeřník, Kuchař - číšník Ročník: 1 1. Základní vlastnosti 2. Technické prostředky 3. Síťová architektura 1. Peer-to-peer 2. Klient-server

Více

PB169 Operační systémy a sítě

PB169 Operační systémy a sítě PB169 Operační systémy a sítě Řízení přístupu k médiu, MAC Marek Kumpošt, Zdeněk Říha Řízení přístupu k médiu Více zařízení sdílí jednu komunikační linku Zařízení chtějí nezávisle komunikovat a posílat

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV TELEKOMUNIKACÍ FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF TELECOMMUNICATIONS

Více

AS-Interface. AS-Interface = Jednoduché systémové řešení. Představení technologie AS-Interface

AS-Interface. AS-Interface = Jednoduché systémové řešení. Představení technologie AS-Interface = Jednoduché systémové řešení Představení technologie Česká republika 2 Technologie Přenosové vlastnosti Instalace Základní všeobecný popis Síťová topologie Princip komunikace Diagnostika Přenos analogových

Více

37MK - Semestrální práce. Signalizace v GSM

37MK - Semestrální práce. Signalizace v GSM 37MK - Semestrální práce - Signalizace v GSM Vypracoval: Václav Outerský Signalizace je u sítě GSM nedílnou součástí komunikace. Stará se o navazování hovoru, ostatní servisní komunikaci s mobilními terminály

Více

Jak přesný je Váš PON power meter?

Jak přesný je Váš PON power meter? Jak přesný je Váš PON power meter? Jan Brouček info@profiber.eu www.profiber.eu K čemu PON powermeter? IPTV Znáte úroveň downstream/upstream? G-PON E-PON (GE-PON) 1 Obejdete se bez PON power meteru? (na

Více

Vlastnosti podporované transportním protokolem TCP:

Vlastnosti podporované transportním protokolem TCP: Transportní vrstva Transportní vrstva odpovídá v podstatě transportní vrstvě OSI, protože poskytuje mechanismus pro koncový přenos dat mezi dvěma stanicemi. Původně se proto tato vrstva označovala jako

Více

EXTRAKT z české technické normy

EXTRAKT z české technické normy EXTRAKT z české technické normy Extrakt nenahrazuje samotnou technickou normu, je pouze informativním 35.240.60 materiálem o normě. Komunikační infrastruktura pro pozemní mobilní zařízení (CALM) Architektura

Více

3.17 Využívané síťové protokoly

3.17 Využívané síťové protokoly Název školy Číslo projektu Autor Název šablony Název DUMu Tematická oblast Předmět Druh učebního materiálu Anotace Vybavení, pomůcky Střední průmyslová škola strojnická Vsetín CZ.1.07/1.5.00/34.0483 Ing.

Více

Počítačové sítě. Lekce 3: Referenční model ISO/OSI

Počítačové sítě. Lekce 3: Referenční model ISO/OSI Počítačové sítě Dekompozice sítě na vrstvy 2 Komunikace mezi vrstvami 3 Standardizace sítí ISO = International Standards Organization Přesný název: Mezinárodní organizace pro normalizaci (anglicky International

Více