Návod k obsluze výukové desky CPLD

Rozměr: px
Začít zobrazení ze stránky:

Download "Návod k obsluze výukové desky CPLD"

Transkript

1 Návod k obsluze výukové desky CPLD FEKT Brno 2008

2 Obsah 1 Úvod Popis desky Hodinový signál Segmentový displej LED zobrazení Přepínače PORT 1 - Externí vstup PORT 2 - Externí výstup Schéma CPLD desky

3 1 Úvod Výuková deska CPLD slouží pro testování jednoduchých digitálních obvodů naprogramovaných v jazyce VHDL. Deska nahrazuje klasické nepájivé pole, které pro testování digitálních obvodů není právě nejvhodnější (z důvodu vzniku různých zákmitů a nedokonalostí připojení). Její konstrukce je navrhnutá s maximální přehledností, a to oddělením testovacích prvků od prvků ochranných a stabilizačních. Popis jednotlivých součástí jako i pinové připojení vstupů na CPLD je uvedeno v následujících statích

4 2 Popis desky Jádro desky (vrchní část) je tvořené CPLD obvodem firmy Xilinx XC9572XL. Dále je to 7 segmentový zobrazovací displej, 4 spínače (Tl1 Tl4) s LED-indikcí stavu zapnutý/vypnutý, 4 zobrazovací LED diody, generátor hodinového signálu, konektor pro připojení napájecího napětí s LED-indikací připojení, konektor pro připojení programovacího rozhraní JTAG, vstupný port Port 1 (4 signálový) a výstupný port Port 2 (4 signálový). Obr. 1: Rozložení výukové desky CPLD Spodní část desky tvoří ochranné součástky (odpory), stabilizační prvky a proudové budiče, které dodávají potřebné hodnoty proudu při signálových úrovních H a L

5 2.1 Hodinový signál CPLD obvod XC9572XL je řízený externím hodinovým signálem, který vytváří mikrokontrolérem PIC 10F202K. Generovaná frekvence je 32 khz. Použité CPLD umožňuje použití hodinového signálu do 100 MHz. CLK 2 Vstupní hodinový signál In / Vstupný Segmentový displej 7-segmentový displej umožňuje zobrazení výstupních signálů. Na obrázku 2 je zobrazené používané označení jednotlivých segmentů a binární kód pro zobrazení jednotlivých číslic. Poznamenejme, že každý segment se rozsvítí při logické úrovni H a zhasne při logické úrovni L. Obr. 2: Označení segmentů A B C D E F G Následující tabulka ilustruje připojení jednotlivých segmentů na piny CPLD obvodu. Segment Pin Popis Směr A 13 - Out / Výstupní B 14 - Out / Výstupní C 16 - Out / Výstupní D 18 - Out / Výstupní E 19 - Out / Výstupní F 20 - Out / Výstupní G 21 - Out / Výstupní DP 12 - Out / Výstupní

6 2.3 LED zobrazení Výuková deska CPLD umožňuje indikaci stavu (H nebo L) výstupních signálů pomocí 4 LED. Obdobně jako při segmentovém zobrazení, tak i při indikací pomocí LED se LED rozsvítí při logické úrovni H a zhasne při logické úrovni L. LED1 5 - Out / Výstupní LED2 6 - Out / Výstupní LED3 7 - Out / Výstupní LED4 8 - Out / Výstupní 2.4 Přepínače Výuková deska CPLD obsahuje 4 přepínače SW1 SW4 s LED indikací stavu zapnutý/vypnutý. Při stavu zapnutý je na výstupu přepínače generovaná logická úroveň H a naopak, při stavu rozepnutý je na výstupu spínače generovaná logická úroveň L. SW In / Vstupní SW In / Vstupní SW In / Vstupní SW In / Vstupní 2.5 PORT 1 - Externí vstup Umožňuje připojení externího zařízení na vstup CPLD obvodu a to pomocí 4 signálových vodičů. Vstup je řízen propojovacím konektorem (jumper) Z, a to: VCC GND VCC GND Vstup zakázan Vstup povolen Přirazení jednotlivých vstupů PORTU 1 k obvodu CPLD. GND1 - Zem - INPT In / Vstupní INPT In / Vstupní INPT In / Vstupní INPT In / Vstupní

7 2.6 PORT 2 - Externí výstup Umožňuje připojení externího výstupního zařízení k obvodu CPLD a to pomocí 4 signálových vodičů. Povolení výstupu je trvale nastavené do nuly, tj. výstup je nepřetržitě povolen. INPT In / Vstupní INPT In / Vstupní INPT In / Vstupní INPT In / Vstupní GND5 - Uzemnení

8 3 Schéma CPLD desky Obr. 4: Celké schéma CPLD desky

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Elektronická stavebnice: Teploměr s frekvenčním výstupem

Elektronická stavebnice: Teploměr s frekvenčním výstupem Elektronická stavebnice: Teploměr s frekvenčním výstupem Teploměr s frekvenčním výstupem je realizován spojením modulu běžných vstupů a výstupů spolu s deskou s jednočipovým počítačem a modulem zobrazovače

Více

EduKit84. Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip. Uživatelská příručka

EduKit84. Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip. Uživatelská příručka EduKit84 Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip Uživatelská příručka OBSAH 1. EduKit84 3 2. Popis zařízení 3 3. Provozní režimy 3 4. Mikrokontrolér PIC16F84A 4 5. Tabulka

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

PK Design. Uživatelský manuál. Modul LED a LCD displeje s maticovou klávesnicí. Přídavný modul modulárního vývojového systému MVS. v2.

PK Design. Uživatelský manuál. Modul LED a LCD displeje s maticovou klávesnicí. Přídavný modul modulárního vývojového systému MVS. v2. Modul LED a LCD displeje s maticovou klávesnicí v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 2.2 2.3

Více

PRESTO. USB programátor. Uživatelská příručka

PRESTO. USB programátor. Uživatelská příručka PRESTO USB programátor Uživatelská příručka OBSAH 1. PRESTO 3 1.1. Použití 3 2. Obsah dodávky 3 3. Instalace 3 3.1. Instalace ovladačů 3 3.2. Instalace programu UP 4 4. Popis programovacího konektoru 5

Více

PK Design. Uživatelský manuál. Modul 4 LED displejů, klávesnice a LCD rozhraní v1.0. Přídavný modul modulárního vývojového systému MVS

PK Design. Uživatelský manuál. Modul 4 LED displejů, klávesnice a LCD rozhraní v1.0. Přídavný modul modulárního vývojového systému MVS Modul 4 LED displejů, klávesnice a LCD rozhraní v1.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (19.04.2005) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti

Více

PŘÍLOHY. PRESTO USB programátor

PŘÍLOHY. PRESTO USB programátor PŘÍLOHY PRESTO USB programátor 1. Příručka PRESTO USB programátor Popis indikátorů a ovládacích prvků Zelená LED (ON-LINE) - PRESTO úspěšně komunikuje s PC Žlutá LED (ACTIVE) - právě se komunikuje s uživatelskou

Více

4.10 Ovládač klávesnice 07 TC 91 Ovládání 32 přepínačů/kláves a 32 LED

4.10 Ovládač klávesnice 07 TC 91 Ovládání 32 přepínačů/kláves a 32 LED .0 Ovládač klávesnice Ovládání 3 přepínačů/kláves a 3 LED 3 Obr..0-: Ovládač klávesnice 5 Obsah Účel použití...0- Zobrazení a komponenty na desce tištěných spojů...0- Elektrické zapojení...0- Přiřazení

Více

PK Design. Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0. Verze dokumentu 1.0 (2. 6. 03)

PK Design. Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0. Verze dokumentu 1.0 (2. 6. 03) Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0 Uživatelský manuál Verze dokumentu 1.0 (2. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti programovacího kabelu...4 2.2 Použití

Více

PK Design. Uživatelský manuál. Xilinx FPGA, CPLD & Atmel AVR. Verze dokumentu 1.0 (12. 12. 04)

PK Design. Uživatelský manuál. Xilinx FPGA, CPLD & Atmel AVR. Verze dokumentu 1.0 (12. 12. 04) Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel-r v1.0 Uživatelský manuál Verze dokumentu 1.0 (12. 12. 04) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti programovacího kabelu... 4 2.2 Použití

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS

Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS Autor: Michal Štrick Vedoucí práce: Ing. Josef Grosman TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Vstupy a výstupy základní sady modulů

Vstupy a výstupy základní sady modulů Vstupy a výstupy základní ------------------------------------------------------------------------------------------------------------------------ Vstupy a výstupy základní moduly a jejich vstupy a výstupy.

Více

Dvouosá / tříosá indikace polohy

Dvouosá / tříosá indikace polohy Z89 Dvouosá / tříosá indikace polohy Napájení 24 VDC nebo 115/230 VAC Vstupy pro inkrementální snímače Externí vstup pro každou osu: nulování / reference Zápis reference Přídavná konstanta Absolutní a

Více

Střídací tabule na fotbal

Střídací tabule na fotbal Středoškolská technika 2014 Setkání a prezentace prací středoškolských studentů na ČVUT Střídací tabule na fotbal Jan Šlapák VOŠ a SŠSE Novovysočanská 48/280 Praha 9 1 Úvod Na fotbale se střídací tabule

Více

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování 8. Rozšiřující deska Evb_IO a Evb_Motor Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Přídavný modul modulárního vývojového systému MVS

Přídavný modul modulárního vývojového systému MVS Modul 8 LED diod a 8 tlačítek v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2

Více

Čtečky s klávesnicí EDK3, EDK3B, EDK3M

Čtečky s klávesnicí EDK3, EDK3B, EDK3M Čtečky s klávesnicí EDK3, EDK3B, EDK3M Identifikační systém ACS-line Návod pro instalaci Od verze firmware 1.19 Návod EDK3x - strana 1 (celkem 8) Popis funkce Snímač kontaktních i bezkontaktních medií

Více

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr. 4.7-1: Binární vstupní / výstupní modul 07 DC 91

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr. 4.7-1: Binární vstupní / výstupní modul 07 DC 91 4.7 Binární vstupní / výstupní modul 16 binárních vstupů, 8 binárních výstupů, 8 konfigurovatelných binárních vstupů / výstupů, 4 V DC, CS31 - linie 1 3 Advant Controller 31 I/O Unit ERR Test 4 1 Obr.

Více

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů Zdeněk Oborný Freescale 2013 1. Obecné vlastnosti Cílem bylo vytvořit zařízení, které by sloužilo jako modernizovaná náhrada stávající

Více

ZOBRAZOVACÍ JEDNOTKA

ZOBRAZOVACÍ JEDNOTKA ZOBRAZOVACÍ JEDNOTKA TYP 2107 Technická dokumentace Výrobce: Ing.Radomír Matulík,Nad Hřištěm 206, 765 02 Otrokovice, http://www.aterm.cz 1 1. Obecný popis Zobrazovací jednotka typ 2107 je určena pro zobrazení

Více

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr : Binární vstupní / výstupní modul 07 DC 92

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr : Binární vstupní / výstupní modul 07 DC 92 4.8 Binární vstupní / výstupní modul 07 DC 9 3 konfigurovatelných binárních vstupů / výstupů, 4 V DC, galvanicky oddělených po skupinách, výstupy zatížitelné 500 ma, CS31 - linie 1 3 4 1 Obr. 4.8-1: Binární

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_48_Čítače a zobrazovače Název

Více

PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT. Příručka uživatele. Střešovická 49, Praha 6, s o f c o s o f c o n.

PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT. Příručka uživatele. Střešovická 49, Praha 6,   s o f c o s o f c o n. PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT Příručka uživatele Střešovická 49, 162 00 Praha 6, e-mail: s o f c o n @ s o f c o n. c z tel./fax : (02) 20 61 03 48 / (02) 20 18 04 54, http :// w w w. s o f

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Vstupní jednotka E10 Návod na použití

Vstupní jednotka E10 Návod na použití Návod na použití Přístupový systém Vstupní jednotka E 10 Strana 1 Obsah 1 Úvod:... 3 2 Specifikace:... 3 3 Vnitřní obvod:... 3 4 Montáž:... 3 5 Zapojení:... 4 6 Programovací menu... 5 6.1 Vstup do programovacího

Více

PK Design. Modul multiplexovaných 8 LED displejů v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6.

PK Design. Modul multiplexovaných 8 LED displejů v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6. Modul multiplexovaných 8 LED displejů v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (2. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4

Více

Návod k použití výkonového modulu KP10M

Návod k použití výkonového modulu KP10M Návod k použití výkonového modulu KP10M výrobce : sdružení, 552 03 Česká skalice, Pod lesem 763, Česká republika typ : KP0M 1.Technické údaje 1.1 Úvod Výkonový modul KP10M je určen pro řízení dvoufázového

Více

ATyS M. Automatické přepínače sítí do 160 A. Pro 40 až 160 A. Funkce. Režimy ovládání.

ATyS M. Automatické přepínače sítí do 160 A. Pro 40 až 160 A. Funkce. Režimy ovládání. ATyS M Automatické přepínače sítí do 160 A Pro 40 až 160 A Funkce Přepínače ATYS M je soubor 2pólových a 4pólových, elektricky ovládaných přepínačů sítí. Hlavní funkcí přístrojů je přepínání mezi hlavním

Více

Autonomní zámek LOG2

Autonomní zámek LOG2 Autonomní zámek LOG2 Identifikační systém ACS-line Návod pro instalaci Verze hardware LOG3.6 popis LOG2-6.doc - strana 1 (celkem 9) Popis funkce Modul LOG2 slouží pro ovládání a kontrolu vstupů pomocí

Více

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů Deska obsahuje osm samostatných galvanicky oddělených vstupních A/D převod-níků pro měření stejnosměrných proudových signálů 0(4) 20 ma z technologických převodníků a snímačů a čtyři samostatné galvanicky

Více

Wie232. Převodník rozhraní Wiegand z bezkontaktních čteček na RS232. 20. června 2011 w w w. p a p o u c h. c o m

Wie232. Převodník rozhraní Wiegand z bezkontaktních čteček na RS232. 20. června 2011 w w w. p a p o u c h. c o m Převodník rozhraní Wiegand z bezkontaktních čteček na RS232 20. června 2011 w w w. p a p o u c h. c o m Katalogový list Vytvořen: 19.1.2010 Poslední aktualizace: 29.7.2010 13:41 Počet stran: 8 2011 Adresa:

Více

NÁVOD K OBSLUZE. ústředna CS-484E-3

NÁVOD K OBSLUZE. ústředna CS-484E-3 NÁVOD K OBSLUZE ústředna CS-484E-3 OBSAH 1. Popis 2. Technické informace 3. Čelní panel 4. Stabilizační interval 5. Zobrazení a inicializace alarmů 6. Funkce "FAULT" 7. Instalace a údržba 8. Upozornění

Více

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C Výstup 24 Vss, negativní logika, 0,5 A, 2 body Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou-,

Více

NÁVOD K MONTÁŽI A OBSLUZE

NÁVOD K MONTÁŽI A OBSLUZE NÁVOD K MONTÁŽI A OBSLUZE Obj.č.: 510703 EA-PS 3016-10B 510704 EA-PS 3016-40B 510705 EA-PS 3032-10B 510706 EA-PS 3065-03B 510707 EA-PS 3065-10B 510715 EA-PS 3016-20B 510716 EA-PS 3032-05B 510717 EA-PS

Více

4.1 Binární vstupní modul 07 DI 92 32 binárních vstupů 24 V DC, galvanicky oddělených po skupinách, CS31 - linie

4.1 Binární vstupní modul 07 DI 92 32 binárních vstupů 24 V DC, galvanicky oddělených po skupinách, CS31 - linie 4.1 Binární vstupní modul 07 DI 9 3 binárních vstupů 4 V DC, galvanicky oddělených po skupinách, CS31 - linie 1 3 4 1 Obr. 4.1-1: Binární vstupní modul 07 DI 9 Obsah Účel použití... 4.1-1 Zobrazovací a

Více

EduKitBeta Uživatelská příručka

EduKitBeta Uživatelská příručka EduKitBeta Uživatelská příručka Výuková deska pro mikrokontroléry Microchip PIC v pouzdře DIL18 OBSAH EduKitBeta 3 Popis zařízení 3 Periférie mikrokontroléru 3 Tabulka zapojení portů na desce Udukit Beta

Více

TERM05. Zobrazovací a ovládací panel. Příručka uživatele AUTOMATIZAČNÍ TECHNIKA

TERM05. Zobrazovací a ovládací panel. Příručka uživatele AUTOMATIZAČNÍ TECHNIKA TERM05 Zobrazovací a ovládací panel Příručka uživatele R AUTOMATIZAČNÍ TECHNIKA Střešovická 49, 162 00 Praha 6, e-mail: s o f c o n @ s o f c o n. c z tel./fax : (02) 20 61 03 48 / (02) 20 18 04 54, http

Více

PVKpro vývojový kit s programátorem pro mikrokontrolér PIC16F84 Připojení k PC: paralelní port Uživatelská příručka

PVKpro vývojový kit s programátorem pro mikrokontrolér PIC16F84 Připojení k PC: paralelní port Uživatelská příručka PVKpro vývojový kit s programátorem pro mikrokontrolér PIC16F84 Připojení k PC: paralelní port Uživatelská příručka ASIX s.r.o., Staropramenná 4, 150 00 Praha 5 - Smíchov, Tel.: 257 312 378, fax: 257 329

Více

ETC Embedded Technology Club setkání 3, 3B zahájení třetího ročníku

ETC Embedded Technology Club setkání 3, 3B zahájení třetího ročníku ETC Embedded Technology Club setkání 3, 3B 9.10. 2018 zahájení třetího ročníku Katedra měření, Katedra telekomunikací,, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 3, 3B 23.10.2018, ČVUT- FEL,

Více

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou-, tří- a čtyřdrátové Provozní teplota -25 C až

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

VUT EBEC2017 Projekt. Wiping Turn Indicator Audi TT

VUT EBEC2017 Projekt. Wiping Turn Indicator Audi TT Stránka 1 z 9 Obsah: 1. Cíl projektu 2. Dostupné pomůcky, postup řešení a hodnocení projektu 3. Stupeň 1: blikání jednou LED 4. Stupeň 2: blikání deseti LED 5. Stupeň 3: animace deseti LED 6. Stupeň 4:

Více

Výhody/Použití. Varianty. prostředí. Flexibilní vícekomponentní měřící. Třída přesnosti 0,0025. Měřící zesilovač. Ovládání dotykovou obrazovkou

Výhody/Použití. Varianty. prostředí. Flexibilní vícekomponentní měřící. Třída přesnosti 0,0025. Měřící zesilovač. Ovládání dotykovou obrazovkou Datový list Měřící zesilovač MCMpro Výhody/Použití Flexibilní vícekomponentní měřící zesilovač Třída přesnosti 0,0025 Konfigurovatelný uživatelský software Ovládání dotykovou obrazovkou Konfigurovatelné

Více

BC-2000 KLÁVESNICE SE ČTEČKOU RFID Uživatelská příručka doplněk k originálnímu návodu (verze )

BC-2000 KLÁVESNICE SE ČTEČKOU RFID Uživatelská příručka doplněk k originálnímu návodu (verze ) BC-2000 KLÁVESNICE SE ČTEČKOU RFID Uživatelská příručka doplněk k originálnímu návodu (verze 3.3.2018) Specifikace: Napájecí napětí: 12V DC Klidový proud:

Více

Art. 5478 Návod pro nastavení a instalaci

Art. 5478 Návod pro nastavení a instalaci DOMOVNÍ DOROZUMÍVACÍ SYSTÉMY Handsfree videotelefon (pro digitální systém VX2200 Videx video BUS6) Art. 5478 Návod pro nastavení a instalaci Tlačítka a ovládání S1 - Servisní tlačítko Po stisknutí se propojí

Více

NTIS-VP1/1: Laboratorní napájecí zdroj programovatelný

NTIS-VP1/1: Laboratorní napájecí zdroj programovatelný NTIS-VP1/1: Laboratorní napájecí zdroj programovatelný stejnosměrný zdroj s regulací výstupního napětí a proudu s programovatelnými funkcemi 3 nezávislé výstupní kanály výstupní rozsah napětí u všech kanálů:

Více

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Programovatelný časový spínač 1s 68h řízený jednočip. mikroprocesorem v3.0a

Programovatelný časový spínač 1s 68h řízený jednočip. mikroprocesorem v3.0a Programovatelný časový spínač 1s 68h řízený jednočip. mikroprocesorem v3.0a Tato konstrukce představuje časový spínač řízený mikroprocesorem Atmel, jehož hodinový takt je odvozen od přesného krystalového

Více

Virtualizace v architekturě počítačů Virtualization in architecture of computers

Virtualizace v architekturě počítačů Virtualization in architecture of computers Virtualizace v architekturě počítačů Virtualization in architecture of computers Michal Bílek * Abstrakt Vysoká škola polytechnická v Jihlavě využívá pro výuku odborných předmětů různé modely a virtualizace.

Více

4IOT-SEN-01 Sensor pro IoT aplikace Technická dokumentace

4IOT-SEN-01 Sensor pro IoT aplikace Technická dokumentace Sensor pro IoT aplikace Technická dokumentace WWW.4IOTECH.COM 2018 4IOTECH s.r.o. Stránka 1 z 12 Technický popis 4IOT-SEN-01 je zařízení vyvinuté společností 4IOTECH s.r.o. speciálně do průmyslového prostředí.

Více

STEJNOSMĚRNÝ NAPÁJECÍ ZDROJ AX-3003L-3 AX-3005L-3. Návod k obsluze

STEJNOSMĚRNÝ NAPÁJECÍ ZDROJ AX-3003L-3 AX-3005L-3. Návod k obsluze STEJNOSMĚRNÝ NAPÁJECÍ ZDROJ AX-3003L-3 AX-3005L-3 Návod k obsluze Tato řada zahrnuje dvoukanálové a tříkanálové stejnosměrné nastavitelné napájecí zdroje. Tříkanálový zdroj má výstupy s velkou přesností,

Více

Popis obvodu U2403B. Funkce integrovaného obvodu U2403B

Popis obvodu U2403B. Funkce integrovaného obvodu U2403B ASICentrum s.r.o. Novodvorská 994, 142 21 Praha 4 Tel. (02) 4404 3478, Fax: (02) 472 2164, E-mail: info@asicentrum.cz ========== ========= ======== ======= ====== ===== ==== === == = Popis obvodu U2403B

Více

Digitální odpalovací pult HELENA Firing 93

Digitální odpalovací pult HELENA Firing 93 Středoškolská technika 2011 Setkání a prezentace prací středoškolských studentů na ČVUT Digitální odpalovací pult HELENA Firing 93 Zeman Matěj SPŠ a VOŠ Písek Karla Čapka 402, 397 01 Písek Předmluva Již

Více

FREESCALE TECHNOLOGY APPLICATION

FREESCALE TECHNOLOGY APPLICATION FREESCALE TECHNOLOGY APPLICATION 2013-2014 3D LED Cube Jméno: Libor Odstrčil Ročník: 4. Obor: IT Univerzita Tomáše Bati ve Zlíně, Fakulta aplikované informatiky 2 1 Konstrukce Obr. 1.: Výsledná LED kostka.

Více

Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem

Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem Generátor se skládá z několika samostatných modulů stavebnice pro zvýšení modulárnosti celého systému a možnosti širšího využití.

Více

CP-MM. Návod k obsluze a montáži Hlásicí modul pro spínané napájecí zdroje řady CP-C

CP-MM. Návod k obsluze a montáži Hlásicí modul pro spínané napájecí zdroje řady CP-C CP-MM Návod k obsluze a montáži Hlásicí modul pro spínané napájecí zdroje řady CP-C Pokyn: tento návod k obsluze a montáži neobsahuje všechny podrobné informace ke všem typům této výrobkové řady a tedy

Více

M8 Tester elektronických součástek (ver )

M8 Tester elektronických součástek (ver ) Návod M8 Tester elektronických součástek (ver. 12864) Děkujeme Vám, že jste si zakoupili naši stavebnici: M8 Tester elektronických součástek. Věříme, že Vám náš produkt přinese nové zážitky, zlepší a zpřesní

Více

Vstupní terminál LOG3

Vstupní terminál LOG3 Vstupní terminál LOG3 Identifikační systém ACS-line Návod pro instalaci Verze hardware LOG3.6 od verze firmware: 2.41 Popis LOG3 v2,41.doc - strana 1 (celkem 8) Popis funkce Modul LOG3 slouží pro ovládání

Více

PK Design. Modul CLK Generátor MHz v1.0. Modul programovatelného zdroje hodinových pulzů

PK Design. Modul CLK Generátor MHz v1.0. Modul programovatelného zdroje hodinových pulzů Modul CLK Generátor 20-120MHz v1.0 Modul programovatelného zdroje hodinových pulzů Uživatelský manuál ٠٣) (٢. ٦. ١.٠ م ل م» مض Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2 Použití...4

Více

Střední průmyslová škola elektrotechniky a informatiky, Ostrava VÝROBNÍ DOKUMENTACE

Střední průmyslová škola elektrotechniky a informatiky, Ostrava VÝROBNÍ DOKUMENTACE Střední průmyslová škola elektrotechniky a informatiky, Ostrava Číslo dokumentace: VÝROBNÍ DOKUMENTACE Jméno a příjmení: Třída: E2B Název výrobku: Interface/osmibitová vstupní periferie pro mikropočítač

Více

32 x BINÁRNÍ VÝSTUPY, POLOVODIČOVÝ SPÍNACÍ KONTAKT

32 x BINÁRNÍ VÝSTUPY, POLOVODIČOVÝ SPÍNACÍ KONTAKT POPIS Deska je určena pro spínání středně výkonných spotřebičů. Řídicí deska souboru ZAT-DV k ní přistupuje přes sběrnici VME. Výstupy desky jsou spínací polovodičové relé, které jsou ovládány pomocí registrů

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_54_Multiplexory Název školy Střední

Více

MART1600: UNIVERZÁLNÍ MODUL PRO ZÁZNAM A REPRODUKCI ZVUKOVÝCH HLÁŠENÍ S VYUŽITÍM OBVODU ŘADY ISD1600B

MART1600: UNIVERZÁLNÍ MODUL PRO ZÁZNAM A REPRODUKCI ZVUKOVÝCH HLÁŠENÍ S VYUŽITÍM OBVODU ŘADY ISD1600B MART1600: UNIVERZÁLNÍ MODUL PRO ZÁZNAM A REPRODUKCI ZVUKOVÝCH HLÁŠENÍ S VYUŽITÍM OBVODU ŘADY ISD1600B Verze 1.0 cz 1. Konstrukce modulu MART1600 je modul sloužící pro záznam a reprodukci jednoho zvukového

Více

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2007 Obsah 1. Kvadraturní dekodér...3

Více

GENERÁTOR FUNKČNÍCH PRŮBĚHŮ

GENERÁTOR FUNKČNÍCH PRŮBĚHŮ Středoškolská technika 2010 Setkání a prezentace prací středoškolských studentů na ČVUT GENERÁTOR FUNKČNÍCH PRŮBĚHŮ Richard Schwarz Vyšší odborná škola a Střední škola slaboproudé elektrotechniky Novovysočanská

Více

IOFLEX02 PROGRAMOVATELNÁ DESKA 16 VSTUPŮ A 32 VÝSTUPŮ. Příručka uživatele. Střešovická 49, Praha 6, s o f c o s o f c o n.

IOFLEX02 PROGRAMOVATELNÁ DESKA 16 VSTUPŮ A 32 VÝSTUPŮ. Příručka uživatele. Střešovická 49, Praha 6,   s o f c o s o f c o n. IOFLEX02 PROGRAMOVATELNÁ DESKA 16 VSTUPŮ A 32 VÝSTUPŮ Příručka uživatele Střešovická 49, 162 00 Praha 6, e-mail: s o f c o n @ s o f c o n. c z tel./fax : 220 610 348 / 220 180 454, http :// w w w. s o

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

MODUL SPÍNAČŮ MSalfa

MODUL SPÍNAČŮ MSalfa MODUL SPÍNAČŮ MSalfa Vydání: 4. Počet stran: 6 TTC TELSYS, a.s. Tel: 234 052 222 Úvalská 1222/32, 100 00 Praha 10 e-mail: telsys@ttc.cz Internet http://www.ttc-telsys.cz - 1 - Použití MODULU SPÍNAČŮ Modul

Více

Multifunkční terminál AXT-300/310

Multifunkční terminál AXT-300/310 TECHNICKÁ DOKUMENTACE VÝROBKU Multifunkční terminál AXT-300/310 Popis zařízení: Terminál s integrovanou 1.3 MegaPixeovou kamerou je založený na platformě průmyslového PC (x86). Je vhodný pro systémy docházky,

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Stavebnice stanice hlasatele PVA-CSK PAVIRO

Stavebnice stanice hlasatele PVA-CSK PAVIRO Konferenční systémy Stavebnice stanice hlasatele PVA-CSK PAVIRO Stavebnice stanice hlasatele PVA-CSK PAVIRO www.boschsecurity.cz Stavebnice stanice hlasatele PVA-CSK je deska s tištěnými obvody (PCB) stanice

Více

Návod pro instalaci jednotky 1083/78, 1083/77 a 1083/62 (jednotka 1083/77 je bez funkce připojení externích kamer)

Návod pro instalaci jednotky 1083/78, 1083/77 a 1083/62 (jednotka 1083/77 je bez funkce připojení externích kamer) Návod pro instalaci jednotky 1083/78, 1083/77 a 1083/62 (jednotka 1083/77 je bez funkce připojení externích kamer) Popis 1 - kamera 2 LED pro signalizaci sběrnice 3 mikrofon 4 integrovaná tlačítka 5 jmenovky

Více

KONEKTOROVÝ BLOK PRO BASLER ACE

KONEKTOROVÝ BLOK PRO BASLER ACE KONEKTOROVÝ BLOK PRO BASLER ACE Katalogový list 02/2018 ATEsystem s.r.o. Studentská 6202/17 708 00 Ostrava-Poruba Česká republika M +420 595 172 720 E atesystem@atesystem.cz W www.atesystem.cz INFORMACE

Více

Operační zesilovač. Úloha A2: Úkoly: Nutné vstupní znalosti: Diagnostika a testování elektronických systémů

Operační zesilovač. Úloha A2: Úkoly: Nutné vstupní znalosti: Diagnostika a testování elektronických systémů Diagnostika a testování elektronických systémů Úloha A2: 1 Operační zesilovač Jméno: Datum: Obsah úlohy: Diagnostika chyb v dvoustupňovém operačním zesilovači Úkoly: 1) Nalezněte poruchy v operačním zesilovači

Více

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 6 analogových vstupů Připojení 2 analogových výstupů Měření a simulace stejnosměrných proudových signálů Vstupní rozsahy 0 ma, 0 ma Výstupní rozsah 0 24mA Rozlišení vstupů

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Datový list D-SERIES DRI DRI314024LD

Datový list D-SERIES DRI DRI314024LD Relé z řady. 1 nebo 2 přepínací kontakty Robustní průmyslová zásuvná připojení Volitelné: aretace / pružinové testovací tlačítko s barevnou identifikací řídicího napětí (AC cívka: červená / DC cívka: modrá)

Více

UC485. Převodník linky RS232 na RS485 nebo RS422 s galvanickým oddělením

UC485. Převodník linky RS232 na RS485 nebo RS422 s galvanickým oddělením Převodník linky RS232 na RS485 nebo RS422 s galvanickým oddělením. Katalogový list Vytvořen: 22.6.2004 Poslední aktualizace: 5.listopadu 2007 08:30 Počet stran: 20 2007 Strana 2 OBSAH Základní informace...

Více

DIGITÁLNÍ ODPALOVACÍ PULT

DIGITÁLNÍ ODPALOVACÍ PULT Středoškolská technika 2010 Setkání a prezentace prací středoškolských studentů na ČVUT DIGITÁLNÍ ODPALOVACÍ PULT Matěj Zeman SPŠ a VOŠ Písek Karla Čapka 402, 397 11 Písek Jiţ od mala mě zajímá pyrotechnika

Více

SuperCom. Stavebnice PROMOS Line 2. Technický manuál

SuperCom. Stavebnice PROMOS Line 2. Technický manuál ELSACO, Jaselská 77 28000 KOLÍN, CZ tel/fax +420-32-727753 http://www.elsaco.cz mail: elsaco@elsaco.cz Stavebnice PROMOS Line 2 SuperCom Technický manuál 2. 04. 2005 2005 sdružení ELSACO Účelová publikace

Více

BKS. Lineární odměřování Micropulse. Konektory. pro standardní výstupy A, C, E, G, P, M, I, S. http://www.balluff.cz. Konektory

BKS. Lineární odměřování Micropulse. Konektory. pro standardní výstupy A, C, E, G, P, M, I, S. http://www.balluff.cz. Konektory Konektory pro standardní výstupy A, C, E, G,, M, I, S Konektory pro typovou řadu BKS-S 78M BTL5-...-S 32 BKS-S 32M BTL5-...-S 32 BKS-S 32M-C BTL5-...-S 32 BKS-S 33M BTL5-...-S 32 rovedení přímé, zástrčka

Více

Návod k obsluze [CZ] VMS 08 Heineken. Řídící jednotka pro přesné měření spotřeby nápojů. Verze: 1.1 Datum: 28.2.2011 Vypracoval: Vilímek

Návod k obsluze [CZ] VMS 08 Heineken. Řídící jednotka pro přesné měření spotřeby nápojů. Verze: 1.1 Datum: 28.2.2011 Vypracoval: Vilímek Návod k obsluze [CZ] VMS 08 Heineken Řídící jednotka pro přesné měření spotřeby nápojů Verze: 1.1 Datum: 28.2.2011 Vypracoval: Vilímek Charakteristika systému VMS08 je mikroprocesorem řízená jednotka určená

Více

MI1249. Video rozhraní pro vozidla Citroen C5 a Peugeot 508

MI1249. Video rozhraní pro vozidla Citroen C5 a Peugeot 508 MI1249 Video rozhraní pro vozidla Citroen C5 a Peugeot 508 Toto rozhraní (adaptér) umožňuje zobrazit RGB signál, AV signál z externího zdroje (například DVD přehrávače) a video signál z kamery při couvání

Více

Návod k obsluze. Kapacitní hladinový spínač KNM

Návod k obsluze. Kapacitní hladinový spínač KNM Návod k obsluze Kapacitní hladinový spínač KNM Obsah. Použití z hlediska určení.............................................strana. Elektrické připojení.................................................strana

Více

Driver pro ovládání DALI zařízení z řídicích jednotek Neets

Driver pro ovládání DALI zařízení z řídicích jednotek Neets Driver pro ovládání DALI zařízení z řídicích jednotek Neets Řídicí jednotky firmy Neets používané pro ovládání audio/video techniky v posluchárnách, učebnách, jednacích místnostech, muzeích apod., mohou

Více

Převodník sériového rozhraní RS-485 na mnohavidové optické vlákno ELO E171 Uživatelský manuál

Převodník sériového rozhraní RS-485 na mnohavidové optické vlákno ELO E171 Uživatelský manuál Převodník sériového rozhraní RS-485 na mnohavidové optické vlákno ELO E171 Uživatelský manuál 1.0 Úvod...3 1.1 Použití převodníku...3 2.0 Principy činnosti...3 3.0 Instalace...3 3.1 Připojení rozhraní

Více

Programátor procesorů PIC. Milan Horkel

Programátor procesorů PIC. Milan Horkel PIPGR0 Programátor procesorů PI Milan Horkel Programátor PIPGR je malý vývojový programátor pro programování procesorů PI firmy MIROHIP. Umožňuje programované zařízení spustit bez odpojování programátoru

Více

DOHNÁLEK, Úpská 132, Mladé Buky, Czech Republic tel.: fax:

DOHNÁLEK, Úpská 132, Mladé Buky, Czech Republic tel.: fax: ON Strana 2 Použití: VT 12 DX0 se používá jako přesná jednofázová nadpěťová / podpěťová ochrana elektrických zařízení při zvýšení resp. snížení napětí nad, resp. pod nastavenou hodnotu. Je časově nezávislá,

Více

www.snailinstruments.com www.picaxe.cz www.hobbyrobot.cz

www.snailinstruments.com www.picaxe.cz www.hobbyrobot.cz Začínáme www.snailinstruments.com www.picaxe.cz www.hobbyrobot.cz Co všechno budete potřebovat: počítač třídy PC, vybavený operačním systémem Windows (2000 až W7) mikrokontrolér PICAXE (zde pracujeme s

Více