PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 ( )

Rozměr: px
Začít zobrazení ze stránky:

Download "PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)"

Transkript

1 MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 ( )

2 Obsah 1 Upozornění Úvod Vlastnosti základové desky Vlastnosti použitého obvodu FPGA Spartan II-150 PQFP Použití základové desky Podpora Stručný popis Provozní podmínky a parametry Nastavení a použití Připojení napájecího napětí Připojení přídavných modulů a uživatelského hardware Nastavení výstupního napětí rozšiřujících konektorů JP Volba signálu TDO konektoru JTAG JP Volba signálu TDI obvodu FPGA JP Volba signálu DIN obvodu FPGA JP Volba konfiguračního módu obvodu FPGA JP Povolení/zakázání výstupů krystalových oscilátorů JP Zapojení paměti EEPROM a obvodu FPGA do JTAG řetězce Připojení zdrojů hodinových signálů Konfigurace (programování) hradlového pole Konfigurace (programování) paměti EEPROM Programovací konektory JTAG a FPGA Ostatní obvody základové desky Literatura...16 Verze dokumentace 1.0 ( )

3 1 Upozornění Při používání základové desky dodržujte provozní podmínky uvedené v této kapitole a v kapitole Provozní podmínky a parametry. Nedodržení těchto doporučených provozních podmínek může vézt k poškození či zničení základové desky, což může mít za následek poškození či zničení připojených modulů nebo uživatelského zařízení. Za poškození či zničení základové desky a připojeného zařízení, důsledkem porušení doporučených provozních podmínek, nenese výrobce zodpovědnost. Základová deska MB-S2-150-PQ208 byla navržena pro vývojové a výukové účely, nikoliv pro instalaci do konečného zařízení. Vzhledem k faktu, že k základové desce je možné připojit velké množství rozšiřujících modulů či uživatelský hardware není možné specifikovat výslednou hodnotu elektromagnetického pole, které bude tímto celkem vyzařováno. Uživatel také musí brát v úvahu, že základová deska není proti vlivům elektromagnetického pole nikterak chráněna a její funkce může být při vysokých intenzitách tohoto pole ovlivněna. Při jakékoliv manipulaci se základovou deskou je nutné zabezpečit, aby nemohlo dojít k elektrostatickému výboji, a proto vždy používejte ESD ochranné pomůcky (uzemňovací ESD náramek, vodivou antistatickou podložka apod.). Elektrostatický výboj může mít za následek zničení základové desky i připojeného zařízení. Není dovoleno základovou desku vystavovat intenzivnímu slunečnímu záření, rychlým změnám teplot, vodě či vysoké vlhkosti. Není také dovoleno ji jakkoliv mechanicky namáhat. Základová deska není odolná proti vlivům agresivních prostředí. Při čištění nesmí být použito rozpouštědel ani saponátů. Čistěte pouze suchým antistatickým hadříkem (dodržujte ESD podmínky z minulých odstavců). Verze dokumentace 1.0 ( ) 3

4 2 Úvod 2.1 Vlastnosti základové desky Základová deska obsahuje programovatelné hradlové pole FPGA firmy Xilinx : Spartan II-150 PQFP208. Návrh je možné vytvářet ve volně dostupném vývojovém prostředí Xilinx WebPACK. Základovou desku lze doplnit konfigurační pamětí EEPROM firmy Xilinx : XC18V02(4), kterou lze programovat přes společný JTAG konektor. Obvod FPGA je možné programovat přes JTAG nebo FPGA rozhraní a nebo z konfigurační paměti EEPROM. Počet přeprogramování není omezen. Všech 140 I/O vývodů FPGA je přístupných na detailně popsaných rozšiřujících konektorech, na které je možné připojit přídavné moduly či uživatelský hardware. Napájecí napětí pro jednotlivé připojené moduly lze zvolit pro každý rozšiřující konektor samostatně : 3.3V / 5V. K obvodu FPGA lze připojit až 4 zdroje hodinových signálů. Pro tyto účely jsou na desce 4 patice pro krystalové oscilátory a 4 konektory pro externí zdroje hodinových signálů. Stav naprogramování je indikován LED diodou. Konfigurační mód FPGA lze nastavit pomocí propojek. Rozměry (v x š x d) : 25mm x 113mm x 170mm 2.2 Vlastnosti použitého obvodu FPGA Spartan II-150 PQFP208 Přesné typové označení : XC2S150-5 PQ208C. Programovatelné hradlové pole (FPGA) s neomezeným počtem přeprogramováním (konfigurační paměť typu SRAM). 864 logických bloků (1728 registrů) - úměrné logických hradel. 12 paměťových bloků na čipu o celkové kapacitě bitů. 4 nezávislé jednotky DLL (delay-locked loop) pro úpravu (násobení/dělení) vstupních hodinových signálů. 4 primární distribuční sítě hodinových signálů s malým zpožděním, 24 sekundárních globálních sítí. Maximální frekvence hodinového signálu 200MHz. 140 I/O vývodů, pracujících v jednom z 16 standardů. Mód snížené spotřeby. Vývody plně kompatibilní s PCI. Optimalizovaná struktura pro vysokorychlostní aritmetiku včetně násobiček. 2.3 Použití základové desky Výuka logických obvodů. Rychlé matematické a signálové koprocesory. Řadiče rychlých pamětí či paměťových modulů. Komunikační systémy. Systémy pro rychlý sběr dat. Vestavěné řídící systémy a systémy na jednom čipu (SoC). 2.4 Podpora Pro podporu jednotlivých aplikací slouží rozmanitá sada přídavných modulů, která se neustále rozšiřuje. Návrh vnitřní struktury hradlového pole je možné provádět ve volně dostupném vývojovém systému Xilinx WebPACK. Součástí tohoto systému je simulátor i programátor obvodů FPGA a jejich konfiguračních pamětí. Nejnovější verze systému je vždy dostupná na internetových stránkách firmy Xilinx. 2.5 Stručný popis Základová deska MB-S2-150-PQ208 je jednou z hlavních částí vývojového a výukového modulárního systému MVS. Obsahuje hradlové pole FPGA vývojové řady Spartan-II firmy Xilinx s označením XC2S150-5 PQ208C. Vzhledem k tomu, že všechny typy FPGA obvodů z řady Spartan-II jsou vzájemně plně kompatibilní, je možné na této desce vyvíjet a testovat návrhy pro jakéhokoliv zástupce této řady (jednotlivé obvody se liší pouze počtem IO vývodů, maximální velikostí návrhu a velikostí interní BLOCK-RAM paměti). Verze dokumentace 1.0 ( ) 4

5 Při návrhu základové desky byl kladen důraz na maximální využití použitého obvodu FPGA. Deska proto obsahuje pouze součástky, které jsou nezbytně nutné pro funkci hradlové pole a 9 rozšiřujících konektorů CON1..9 typu MLW20, pomocí nichž se propojuje s ostatními moduly vývojového systému MVS. Na tyto konektory se také může připojit uživatelský hardware nebo část vyvíjené aplikace. Obr. 1 - blokový diagram základové desky Kromě rozšiřujících konektorů CON1..9 základová deska obsahuje konektory CLK0..3 pro připojení externích zdrojů hodinových signálů spolu se 4 paticemi pro krystalové oscilátory v pouzdře DIL14. Dále obsahuje propojky nastavující mód obvodu FPGA a LED diody zobrazující jeho stav, programovací tlačítko PROG, FPGA a JTAG programovací rozhraní, patici pro konfigurační paměť a napájecí obvody. Bližší informace o jednotlivých částech jsou uvedeny v následujících kapitolách. Tím, že deska neobsahuje žádné periferní obvody přímo připojené k obvodu FPGA je návrháři umožněno si celý systém zapojit přesně podle svých představ. Verze dokumentace 1.0 ( ) 5

6 3 Provozní podmínky a parametry Maximální napájecí napětí V IN...12V stejnosměrných Maximální proudový odběr I TOT A Maximální ztrátový výkon na hlavním napěťovém stabilizátoru P TOT W Provozní napájecí napětí V IN...6.5V 8V stejnosměrných Klidový proudový odběr základové desky bez připojených modulů...100ma max. Povolené vstupní napětí I/O vývodů v až +5.5V stejnosměrných Maximální odebíraný proud z I/O vývodu...24ma Skladovací teplota okolí C až +50 C Provozní teplota okolí C až +30 C Kromě výše zmíněných provozních podmínek dodržujte také podmínky pro samotný obvod FPGA ze sekce Absolute Maximum Ratings a DC charackeristics katalogového listu firmy Xilinx, viz. [1]. Při nedodržení provozních podmínek hrozí zničení obvodů základové desky i připojeného hardware! 1 Tímto proudovým odběrem se rozumí odběr proudu základové desky i hardwaru, který je z této desky napájen. 2 Hodnota ztrátového výkonu hlavního napěťového stabilizátoru je rovna proudu tekoucímu přívodem napájecího napětí vynásobeného rozdílem vstupního napájecího napětí (sníženého o hodnotu 0.7V) a napětí 5V. Verze dokumentace 1.0 ( ) 6

7 4 Nastavení a použití V této sekci je popsáno jak základovou desku nastavovat a používat. Je zde uveden způsob připojení ke zdroji napájecího napětí, zapojení rozšiřujících konektorů a jejich používání, používání konektorů pro připojení externích zdrojů hodinových signálů a připojení programovacího kabelu. Dále se tato část věnuje možnostem programování obvodu FPGA a programování sériové konfigurační paměti. Je zde také uveden význam jednotlivých propojek (jumperů), které se používají pro nastavování základové desky. Obr. 2 - rozmístění konektorů a patic na základové desce Legenda: CON1..9 CON10 CON CON15 CON16 SCKT0..3 SCKT4 rozšiřující konektory typu MLW20 napájecí konektor konektory pro připojení externích zdrojů hodinových signálů JTAG programovací konektor FPGA programovací konektor patice DIL14 pro krystalové oscilátory patice PLCC44 pro konfigurační paměť XC18V02(4)PC44C Verze dokumentace 1.0 ( ) 7

8 Obr. 3 - rozmístění propojek na základové desce Legenda: JMP1..9 JMP10 JMP11 JMP12 JMP13 JMP volba výstupního napětí rozšiřujících konektorů CON1..9 volba výstupního signálu TDO, který je přiveden na konektor JTAG volba signálu TDI, který je přiveden na vstup obvodu FPGA volba připojení vstupního datového vývodu DIN obvodu FPGA volba konfiguračního módu a módu se sníženou spotřebou obvodu FPGA povolení / zakázání použití výstupů krystalových oscilátorů OSC-0..3 Out 4.1 Připojení napájecího napětí Velikost vstupního napájecího napětí V IN základové desky je nutné volit v rozmezí 6.5V 8V stejnosměrných. Při volbě jeho velikosti je nutné brát v úvahu maximální ztrátový výkon P TOT hlavního stabilizátoru napětí 5V (L4940V5). Při použití příliš velkého napájecího napětí může být hodnota ztrátového výkonu na vstupním stabilizátoru napětí vyšší než je její maximální dovolená hodnota pro dané chlazení (1.7W), což může mít za následek zničení stabilizátoru překročením maximálního dovoleného ztrátového výkonu či maximální dovolené provozní teploty. Ztrátový výkon je závislý na vstupním napájecím napětí V IN a také na celkovém odebíraném proudu I TOT, který je dán součtem proudu tekoucího obvodem FPGA a všech proudů odebíraných připojenými moduly. Velikost ztrátového výkonu vstupního stabilizátoru napětí se vypočte podle následujícího vzorce: Verze dokumentace 1.0 ( ) 8

9 P TOT = (V IN 5) / I TOT Zničení napěťového stabilizátoru může vézt k poškození či dokonce ke zničení celé základové desky i připojených modulů, a proto volbě vstupního napájecího napětí věnujte dostatečnou pozornost. Je také nutné zabezpečit, aby celkový odebíraný proud I TOT (součet proudu základové desky a proudů všech připojených modulů) nepřekročil hodnotu 1.2A. Při nedodržení tohoto limitu hrozí opět zničení napěťového stabilizátoru a z toho vyplývající následky. Napájecí zdroj musí být dostatečně proudově dimenzován, aby pokryl proudový odběr základové desky i všech připojených rozšiřujících modulů. Nedoporučuje se proto systém napájet z baterií. Proudový odběr je závislý na použitých rozšiřujících modulech či připojeném uživatelském hardwaru a na vnitřním návrhu logického obvodu implementovaného do FPGA, a proto nelze v této sekci dokumentu definovat jeho velikost. Napájecí napětí se připojuje pomocí konektoru CON10, což je standardní napájecí konektor s průměrem středového trnu 2.5mm. Kladný pól (V IN ) je připojen na vnitřní část konektoru (trn), záporný pól (nulový potenciál GND) na vnější část (obal), viz. obrázek Obr. 4. Připojení napájecího napětí je indikováno zelenou LED diodou. Obr. 4 - zapojení napájecího konektoru 4.2 Připojení přídavných modulů a uživatelského hardware Obvod FPGA má své vývody pojmenovány ve tvaru Pxxx, kde xxx jsou čísla od 1 do 208 (př. P1, P2,... P208), viz. [1]. Všech 140 vstupně/výstupních vývodů je přímo propojených s rozšiřujícími konektory, jejichž vývody jsou označeny na desce plošných spojů shodnými jmény (Pxxx). Tato označení vývodů konektorů přímo slouží jako jména vstupně/výstupních vývodů hradlového pole v návrhových systémech (např. Xilinx WebPACK), ve kterých se provádí popis vnitřní struktury obvodu. Vzájemné přiřazení jednotlivých vývodů obvodu FPGA k vývodům rozšiřujících konektorů popisuje tabulka 1. Vzhledem k tomu, že napájecí napětí vstupně/výstupních portů obvodu FPGA je 3.3V, tak maximální výstupní napětí na jeho vývodech je taktéž 3.3V. Vstupní napětí však může být až 5V, protože vývody jsou 5V tolerantní. Detaily viz. [1]. Přídavné moduly či uživatelský hardware se připojují k základové desce pomocí rozšiřujících konektorů CON1..9. Všechny konektory jsou shodného typu MLW20. Číselné označení jejich vývodů je zobrazeno na následujícím obrázku Obr. 5. Obr. 5 - číslování vývodů rozšiřujících konektorů (pohled shora) Verze dokumentace 1.0 ( ) 9

10 Číslo vývodu konektoru Názvy vývodů obvodu FPGA pro jednotlivé rozšiřující konektory CONx CON1 CON2 CON3 CON4 CON5 CON6 CON7 CON8 CON9 1 V CC V CC V CC V CC V CC V CC V CC V CC V CC 3 P188 P4 P23 P47 P73 P99 P122 P142 P166 5 P191 P6 P27 P49 P75 P101 P125 P147 P168 7 P193 P8 P30 P58 P82 P107 P127 P149 P173 9 P195 P10 P33 P60 P84 P109 P132 P151 P P200 P15 P35 P62 P87 P111 P134 P153 P P202 P17 P37 P67 P89 P113 P136 P160 P P204 P20 P42 P69 P94 P115 P139 P162 P P206 P22 P44 P71 P96 P120 P141 P164 P GND GND GND GND GND GND GND GND GND 2 V CC V CC V CC V CC V CC V CC V CC V CC V CC 4 P187 P3 P24 P46 P74 P98 P121 P146 P167 6 P189 P5 P29 P48 P81 P100 P123 P148 P172 8 P192 P7 P31 P57 P83 P102 P126 P150 P P194 P9 P34 P59 P86 P108 P129 P152 P P199 P14 P36 P61 P88 P110 P133 P154 GND 14 P201 P16 P41 P63 P90 P112 P135 P161 GND 16 P203 P18 P43 P68 P95 P114 P138 P163 GND 18 P205 P21 P45 P70 P97 P119 P140 P165 GND 20 GND GND GND GND GND GND GND GND GND Tabulka 1 - vzájemné přiřazení vývodů obvodu FPGA k vývodům rozšiřujících konektorů CON1..9 Konektory CON1..8 obsahují 16 vývodů, které jsou připojeny na vstupně/výstupní vývody obvodu FPGA (vývody konektoru číslo 3-18), 2 vývody připojené na V CC (vývody číslo 1 a 2) a 2 vývody připojené na nulový potenciál označený GND (vývody číslo 19 a 20). Výjimku tvoří konektor CON9, který obsahuje pouze 12 vývodů připojených k I/O vývodům FPGA, 2 vývody V CC a 6 vývodů GND. Velikost výstupního napětí V CC, které je možné použít pro napájení rozšiřujícího hardware, je možné nastavit pro každý konektor CON1..9 samostatně propojkami JP1..9 (viz. níže) na hodnotu 3.3V nebo 5V. Zvolená koncepce rozšiřujících konektorů umožňuje velmi jednoduché a vysoce univerzální připojení rozšiřujícího hardware k hradlovému poli FPGA a tím i jeho maximální využití. Verze dokumentace 1.0 ( ) 10

11 4.3 Nastavení výstupního napětí rozšiřujících konektorů JP1..9 Velikost výstupního napětí každého rozšiřujícího konektoru lze zvolit samostatně příslušnou propojkou (JP1..9), umístěnou u daného konektoru. Volba velikosti napětí je závislá na připojeném modulu, který je tímto napětím napájen. JP1-9 Zobrazení Funkce 1 2 Velikost výstupního napětí je 3.3V. 2 3 Velikost výstupního napětí je 5V. 4.4 Volba signálu TDO konektoru JTAG JP10 Na výstupní signál TDO konektoru JTAG je možné připojit buď signál TDO z konfigurační paměti a nebo signál TDO z obvodu FPGA. JP10 Zobrazení Funkce Na konektor JTAG je přiveden výstupní signál TDO z konfigurační paměti. Obvod FPGA nebude zařazen do JTAG řetězce. Na konektor JTAG je přiveden výstupní signál TDO z obvodu FPGA, který tak bude zařazen do JTAG řetězce. 4.5 Volba signálu TDI obvodu FPGA JP11 Jako vstupní signál TDI obvodu FPGA lze použít buď přímo signál TDI z konektoru JTAG nebo výstupní signál TDO z konfigurační paměti. Případně lze signál nechat nezapojen. JP11 Zobrazení Funkce Na vstup TDI obvodu FPGA je přiveden signál přímo z konektoru JTAG. Konfigurační paměť nebude zařazena do JTAG řetězce. Na vstup TDI obvodu FPGA je přiveden výstupní signál TDO z konfigurační paměti. Tyto obvody budou zapojeny sériově v JTAG řetězci. Na vstup TDI obvodu FPGA nebude přiveden žádný signál a obvod tak nebude moci být zařazen do JTAG řetězce. Verze dokumentace 1.0 ( ) 11

12 4.6 Volba signálu DIN obvodu FPGA JP12 Vstupní datový signál DIN obvodu FPGA je možné připojit buď na výstupní signál D0 z konfigurační paměti a nebo na signál DIN přímo z konektoru FPGA. JP12 Zobrazení Funkce Na vstup DIN obvodu FPGA je přiveden výstupní signál D0 z konfigurační paměti. Obvod FPGA bude moci být programován v módu master-serial mode daty z této paměti. Na vstup DIN obvodu FPGA je přiveden signál DIN přímo z konektoru FPGA. Obvod FPGA bude moci být programován v módu slave-serial mode přes tento konektor např. z osobního počítače. 4.7 Volba konfiguračního módu obvodu FPGA JP13 Základová deska umožňuje volbu jednoho z 8 konfiguračních módů obvodu FPGA. Zobrazení JP13 Funkce M0 M1 M Master-serial mód (bez pull-up rezitorů) Master-serial mód (s pull-up rezitory) 1-1 Slave-parallel mód (s pull-up rezitory) Slave-parallel mód (bez pull-up rezitorů) Boundary-scan mód (s pull-up rezitory) Boundary-scan mód (bez pull-up rezitorů) Slave-serial mód (s pull-up rezitory) Slave-serial mód (bez pull-up rezitorů) PD Řídí power-down mód Znak '1' v tabulce znázorňuje zapojenou propojku, znak '-' nezapojenou. Bližší informace o jednotlivých módech lze nalézt v dokumentaci firmy Xilinx. 4.8 Povolení/zakázání výstupů krystalových oscilátorů JP Každý výstup krystalového oscilátoru OSC-0..3 Out může být připojen na příslušný vstup hodinových signálů GCLK0..3 obvodu FPGA. Zobrazení Propojka Jméno propojky Funkce JP14 Gen0EN Připojí výstup oscilátoru OSC-0 Out na vstup GCLK0 obvodu FPGA. JP15 Gen1EN Připojí výstup oscilátoru OSC-1 Out na vstup GCLK1 obvodu FPGA. JP16 Gen2EN Připojí výstup oscilátoru OSC-2 Out na vstup GCLK2 obvodu FPGA. JP17 Gen3EN Připojí výstup oscilátoru OSC-3 Out na vstup GCLK3 obvodu FPGA. 4.9 Zapojení paměti EEPROM a obvodu FPGA do JTAG řetězce JTAG řetězec je sériové zapojení obvodů s JTAG rozhraním provedené tak, že výstup TDO předcházejícího obvodu je zapojen na vstup TDI následujícího obvodu. Na programovací kabel je pak zapojen první obvod svým vstupem TDI a poslední obvod svým výstupem TDO. Tím vzniká uzavřený řetězec obvodů. Všechny obvody mají dále spojeny signály TCK a také signály TMS a tato spojní jsou přivedena opět na programovací kabel. Výhodou tohoto hromadného propojení je možnost programovat libovolný obvod z celého řetězce bez nutnosti přepojování programovacího kabelu. Verze dokumentace 1.0 ( ) 12

13 Základová deska umožňuje tři různá zapojení sériové konfigurační paměti a hradlového pole do JTAG řetězce. Prvním z nich je zapojení pouze paměti EEPROM, druhým je zapojení pouze obvodu FPGA a třetím je zapojení obou obvodů současně. Jednotlivá zapojení jsou znázorněna na obrázcích obr. 6, obr. 7 a obr. 8, u kterých je také uvedeno nastavení propojek JP10 a JP11, jejichž popis je uveden výše. Obr. 6 - řetězec obsahující pouze paměť EEPROM JP10 -> 1-2 JP11 -> propojka nezapojena Zapojení je vhodné použít v případ ě, že nechceme zapojit obvod FPGA do JTAG řetězce. Obr. 7 - řetězec obsahující pouze obvod FPGA JP10 -> 2-3 JP11 -> 1-2 Toto zapojení je vhodné použít v případ ě, kdy pam ěť EEPROM není umístěna v patici SCKT4. Obr. 8 - řetězec obsahující paměť EEPROM i obvod FPGA JP10 -> 2-3 JP11 -> 2-3 Zapojení umožňuje programovat sériovou pam ěť i obvod FPGA Připojení zdrojů hodinových signálů Obvody FPGA řady Spartan-II umožňují připojení až 4 hodinových signálů na speciální vstupní vývody označené GCLK0..3, bližší informace viz. [1]. Tyto vývody jsou připojeny přes propojky JP na výstupy krystalových oscilátorů OSC0..3 Out (umístěných v paticích SCKT0..3), čímž lze jednotlivé oscilátory od vstupních vývodů v případě potřeby odpojit. Odpojených výstupů krystalových oscilátorů (OSC0..3 Out) lze využít jako zdrojů hodinových signálů pro rozšiřující hardware a to tak, že se dané piny propojek JP použijí jako konektory (detailní označení vývodů propojek je na obrázcích Obr. 9 a Obr. 10). Vývody GCLKx jsou dále vyvedeny na konektory CON11..14, na které je možné připojit externí zdroje hodinových signálů. Při použití externích zdrojů je samozřejmě nutné odpojit krystalové oscilátory propojkami JP14..17, aby na jeden vodič nebyly připojeny dva výstupy současně (výstup z krystalového oscilátoru a výstup z externího zdroje hodinového signálu). V případě použití krystalových oscilátorů jako zdrojů hodinových signálů (příslušné propojky JP musí být zapojeny) je možné využít konektorů pro připojení externích zdrojů hodinových signálů opačným způsobem, tj. jako výstupů a řídit jimi např. připojený hardware. Tímto postupem lze například generovat jedním krystalovým oscilátorem hodinový signál jak pro hradlové pole, tak i pro připojený hardware. Přesné rozložení propojek JP a konektorů CON s podrobným popisem jejich vývodů na desce plošných spojů je zobrazeno na obrázcích Obr. 9 a Obr. 10. Obr. 9 - označení vývodů propojek a konektorů CLK0 a CLK1 Verze dokumentace 1.0 ( ) 13

14 Legenda: OSCx Out I/O GCLKx GND Obr označení vývodů propojek a konektorů CLK2 a CLK3 výstupy krystalových oscilátorů vývody konektorů či propojek k nimž jsou připojeny vstupy GCLKx obvodu FPGA nulový potenciál 4.11 Konfigurace (programování) hradlového pole Hradlové pole řady Spartan-II se po připojení napájecího napětí musí vždy znovu naprogramovat, protože neobsahuje žádnou nonvolatilní paměť, ve které by konfigurační informace po odpojení napájecího napětí zůstaly zachovány. Tato vlastnost použitého obvodu FPGA umožňuje návrháři neomezeně-krát obvod FPGA přeprogramovat, což je velmi výhodné pro vývojové a výukové účely. Neomezené rekonfigurace lze také využít např. pro změnu vnitřní struktury obvodu FPGA za běhu aplikace. Základová deska umožňuje tyto typy konfigurace hradlového pole: konfigurace přes rozhraní JTAG konfigurace přes rozhraní FPGA automatická konfigurace ze sériové paměti EEPROM Konfigurace přes rozhraní JTAG. Obvod FPGA se nastaví propojkou JP13 do módu boundary-scan mode, propojkami JP10 a JP11 se obvod připojí do JTAG řetězce (popsáno výše) a na JTAG konektor (CON15) se připojí programovací systém (např. osobní počítač přes příslušný programovací kabel). Konfigurace přes toto rozhraní je velmi výhodná, protože umožňuje zpětnou kontrolu zapsaných dat. Konfigurace přes rozhraní FPGA. Obvod FPGA se nastaví propojkou JP13 do módu slave-serial mode, propojkou JP12 se připojí vstupní signál DIN obvodu FPGA na signál DIN konektoru FPGA a na samotný FPGA konektor (CON16) se připojí programovací systém (např. osobní počítač přes příslušný programovací kabel). Konfigurace přes toto rozhraní je specifická tím, že umožňuje velmi jednoduchým způsobem měnit vnitřní strukturu obvodu FPGA libovolným digitálním systémem (osobním počítačem, mikrokontrolerem, jiným obvodem FPGA apod.) bez použití složitých komunikačních protokolů. Automatická konfigurace ze sériové paměti EEPROM využívá konfigurační mód hradlového pole master-serial mode (nutné nastavit propojkou JP13) a probíhá tak, že po připojení napájecího napětí a nebo po stisknutí tlačítka PROG si obvod FPGA načte konfigurační data z připojené sériové paměti XC18V02 nebo XC18V04 (informace o těchto pamětech viz. [2]). Tato paměť se na základové desce umísťuje do patice SCKT4. Kromě správného nastavení konfiguračního módu FPGA je také nutné nastavit propojku JP12 tak, aby na vstupní signál DIN obvodu FPGA byl připojen výstupní signál D0 z konfigurační paměti. Detailní informace o programování hradlového pole lze nalézt v [1] a v aplikačních poznámkách firmy Xilinx Konfigurace (programování) paměti EEPROM Programování sériové paměti EEPROM se provádí připojením programovacího kabelu na konektor JTAG (CON15) a nastavením (viz. výše) propojek JP10 a JP11 tak, aby paměť byla zapojena do JTAG řetězce. Verze dokumentace 1.0 ( ) 14

15 4.13 Programovací konektory JTAG a FPGA Zobrazení Vývod Funkce VCC GND TCK TDO TDI TMS Napájecí napětí pro programovací kabel (+5V) Nulový potenciál Vstup hodinového signálu Sériový datový výstup Sériový datový vstup Signál pro řízení programování Tabulka 2 - popis vývodů programovacího konektoru JTAG (CON15) Zobrazení Vývod Funkce VCC GND CCLK DONE DIN PROG\ Napájecí napětí pro programovací kabel (+5V) Nulový potenciál Vstup hodinového signálu Výstup indikující, že programování proběhlo úspěšně Sériový datový vstup Signál povolující programování Tabulka 3 - popis vývodů programovacího konektoru FPGA (CON16) 4.14 Ostatní obvody základové desky Základová deska umožňuje vymazání konfiguračních dat hradlového pole tlačítkem PROG. Pokud je nastaveno automatické konfigurování (je zvolen mód master-serial mode ) obvodu FPGA ze sériové paměti EEPROM, která je v daném okamžiku umístěna v patici SCKT4, dojde po zmáčknutí tlačítka nejen k vymazání konfiguračních dat, ale také k naprogramování obvodu daty uloženými v paměti. Stav naprogramování obvodu FPGA je indikován červenou LED diodou označenou na desce plošných spojů nápisem DONE\. Pokud dioda svítí, tak obvod není naprogramován, v opačném případě byl obvod úspěšně naprogramován. Stav režimu snížené spotřeby obvodu FPGA je indikován druhou červenou LED diodou označenou nápisem STAT. Pokud dioda svítí, tak je obvod v režimu s nízkou spotřebou. Verze dokumentace 1.0 ( ) 15

16 5 Literatura [1]... Xilinx, technická dokumentace DS001 - Spartan-II 2.5V Family FPGA, dostupná na [2]... Xilinx, technická dokumentace DS026 - XC18V00 Series of In-System Programmable Configuration PROMs, dostupná na Verze dokumentace 1.0 ( ) 16

17 Příloha A Schéma Verze dokumentace 1.0 ( ) 17

18 MB-S2-150-PQ208 v1.4 Uživatelský manuál (verze dokumentace v1.0) PK Design Verze dokumentace 1.0 ( ) 18

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

PK Design. Uživatelský manuál. Xilinx FPGA, CPLD & Atmel AVR. Verze dokumentu 1.0 (12. 12. 04)

PK Design. Uživatelský manuál. Xilinx FPGA, CPLD & Atmel AVR. Verze dokumentu 1.0 (12. 12. 04) Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel-r v1.0 Uživatelský manuál Verze dokumentu 1.0 (12. 12. 04) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti programovacího kabelu... 4 2.2 Použití

Více

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10.

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10. MB-XC3SxxxE-TQ144 v1.1 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (10.10.2008) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

PK Design. Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0. Verze dokumentu 1.0 (2. 6. 03)

PK Design. Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0. Verze dokumentu 1.0 (2. 6. 03) Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0 Uživatelský manuál Verze dokumentu 1.0 (2. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti programovacího kabelu...4 2.2 Použití

Více

PK Design. Modul 8 SMD LED diod v1.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6. 03)

PK Design. Modul 8 SMD LED diod v1.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6. 03) Modul 8 SMD LED diod v1.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (2. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2 Použití modulu...4

Více

PK Design. Uživatelský manuál. Modul CLK generátor NB3N502 v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (04.03.

PK Design. Uživatelský manuál. Modul CLK generátor NB3N502 v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (04.03. Modul CLK generátor NB3N502 v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (04.03.2010) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2 Použití...4

Více

PK Design. Uživatelský manuál. Modul USB-FT245BM v2.2. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (7. 11.

PK Design. Uživatelský manuál. Modul USB-FT245BM v2.2. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (7. 11. Modul USB-FT245BM v2.2 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (7. 11. 04) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti modulu...4 2.2 Použití modulu...4

Více

PK Design. Uživatelský manuál. Modul 4 LED displejů, klávesnice a LCD rozhraní v1.0. Přídavný modul modulárního vývojového systému MVS

PK Design. Uživatelský manuál. Modul 4 LED displejů, klávesnice a LCD rozhraní v1.0. Přídavný modul modulárního vývojového systému MVS Modul 4 LED displejů, klávesnice a LCD rozhraní v1.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (19.04.2005) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti

Více

PK Design. Modul CLK Generátor MHz v1.0. Modul programovatelného zdroje hodinových pulzů

PK Design. Modul CLK Generátor MHz v1.0. Modul programovatelného zdroje hodinových pulzů Modul CLK Generátor 20-120MHz v1.0 Modul programovatelného zdroje hodinových pulzů Uživatelský manuál ٠٣) (٢. ٦. ١.٠ م ل م» مض Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2 Použití...4

Více

PK Design. Uživatelský manuál. VGA & PS/2 modul v2.0 Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (07.12.

PK Design. Uživatelský manuál. VGA & PS/2 modul v2.0 Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (07.12. VGA & PS/2 modul v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (07.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti modulu...4 2.2 Použití modulu...4

Více

PK Design. Uživatelský manuál. Modul LED a LCD displeje s maticovou klávesnicí. Přídavný modul modulárního vývojového systému MVS. v2.

PK Design. Uživatelský manuál. Modul LED a LCD displeje s maticovou klávesnicí. Přídavný modul modulárního vývojového systému MVS. v2. Modul LED a LCD displeje s maticovou klávesnicí v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 2.2 2.3

Více

Přídavný modul modulárního vývojového systému MVS

Přídavný modul modulárního vývojového systému MVS Modul 8 LED diod a 8 tlačítek v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2

Více

PK Design. Modul USB2xxR-MLW20 v1.0. Uživatelský manuál. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (05.04.

PK Design. Modul USB2xxR-MLW20 v1.0. Uživatelský manuál. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (05.04. Modul USB2xxR-MLW20 v1.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (05.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2 Použití modulu...4

Více

PK Design. Modul multiplexovaných 8 LED displejů v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6.

PK Design. Modul multiplexovaných 8 LED displejů v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6. Modul multiplexovaných 8 LED displejů v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (2. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4

Více

PK Design. Uživatelský manuál. Modul LCD displeje, 8 tlačítek a beeperu v2.0. Přídavný modul modulárního vývojového systému MVS

PK Design. Uživatelský manuál. Modul LCD displeje, 8 tlačítek a beeperu v2.0. Přídavný modul modulárního vývojového systému MVS Modul LCD displeje, 8 tlačítek a beeperu v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4

Více

PK Design. Modul 32 multiplexovaných LED diod v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6.

PK Design. Modul 32 multiplexovaných LED diod v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6. Modul 32 multiplexovaných LED diod v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (2. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2

Více

PK Design. MB-ATmega128 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (23.09.

PK Design. MB-ATmega128 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (23.09. MB-ATmega128 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (23.09.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

PK Design. EVMS-mega128. Uživatelský manuál. v1.0. Výuková deska s mikrokontrolerem ATmega128. Obr. 1 - výuková deska s LCD displejem

PK Design. EVMS-mega128. Uživatelský manuál. v1.0. Výuková deska s mikrokontrolerem ATmega128. Obr. 1 - výuková deska s LCD displejem EVMS-mega128 v1.0 Výuková deska s mikrokontrolerem ATmega128 Uživatelský manuál Obr. 1 - výuková deska s LCD displejem Obr. 2 - výuková deska bez LCD displeje Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 2.2

Více

PK Design. MB-ATmega128 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10.

PK Design. MB-ATmega128 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10. MB-ATmega128 v4.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (10.10.2008) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

PK Design. MB-ATmega16/32 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (01.01.

PK Design. MB-ATmega16/32 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (01.01. MB-ATmega16/32 v4.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.01.2011) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje ŘÍDÍCÍ ČLEN GCD 411 univerzální procesorový člen pro mikropočítačové systémy mikroprocesor PCF80C552 programová paměť 64kB FLASH PROM datová paměť 32kB SRAM nebo zálohovaná s RTC sériový kanál RS485 sběrnice

Více

Elektronická stavebnice: Deska s jednočipovým počítačem

Elektronická stavebnice: Deska s jednočipovým počítačem Elektronická stavebnice: Deska s jednočipovým počítačem Modul s jednočipovým počítačem Modul s řídícím jednočipovým počítačem je centrálním prvkem stavebnice. Jeho konstrukce umožňuje přímé připojení do

Více

Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem

Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem Generátor se skládá z několika samostatných modulů stavebnice pro zvýšení modulárnosti celého systému a možnosti širšího využití.

Více

Technická dokumentace MĚŘICÍ ZAŘÍZENÍ. typ TENZ2400. www.aterm.cz

Technická dokumentace MĚŘICÍ ZAŘÍZENÍ. typ TENZ2400. www.aterm.cz MĚŘICÍ ZAŘÍZENÍ typ TENZ2400 www.aterm.cz 1 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla doložena shoda

Více

Karta DIO portů PCD-8006 Uživatelská příručka

Karta DIO portů PCD-8006 Uživatelská příručka Karta DIO portů PCD-8006 Uživatelská příručka Údaje o mé kartě: typové označení:.................................. (např. PCD-8006) výrobní číslo:.................................. (např. 80010108) datum

Více

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor FASTPort Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům aneb Jak připojit koprocesor *) inteligentní karta = karta vybavená vlastním procesorem J. Němeček 12. 10. 2013 úvodní

Více

CQ485. Opakovač a převodník linek RS485 a RS422. S aktivní i pasivní obnovou dat

CQ485. Opakovač a převodník linek RS485 a RS422. S aktivní i pasivní obnovou dat Opakovač a převodník linek RS485 a RS422 S aktivní i pasivní obnovou dat. CQ485 Katalogový list Vytvořen: 8.12.2004 Poslední aktualizace: 19.1.2011 13:54 Počet stran: 20 2011 Strana 2 CQ485 OBSAH Popis...

Více

možnost připojení k tabletu ipad (pomocí sady pro připojení kamery) nebo chytrému telefonu (s kabelem OTG) podporujícími formát USB audio

možnost připojení k tabletu ipad (pomocí sady pro připojení kamery) nebo chytrému telefonu (s kabelem OTG) podporujícími formát USB audio Obsah 1. Představení produktu Klíčové vlastnosti produktu 2. Specifikace 3. Obsah balení 4. Popis jednotlivých částí přístroje Přední panel Zadní panel 5. Připojení přístroje k počítači a nastavení Windows

Více

MĚŘIDLO TEPLOTY, VLHKOSTI A PROUDĚNÍ

MĚŘIDLO TEPLOTY, VLHKOSTI A PROUDĚNÍ MĚŘIDLO TEPLOTY, VLHKOSTI A PROUDĚNÍ typ Pvtd2191 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla doložena

Více

Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem

Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem 9 221 PXC64-U DESIGO PX Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem PXC-U PXA30-T Volně programovatelné automatizační podstanice pro řízení a regulaci VVK a technických zařízení budov Sběrnice

Více

Návod k použití. Deska identifikace FASTOS IDENT 2000. AGROSOFT Tábor s.r.o.

Návod k použití. Deska identifikace FASTOS IDENT 2000. AGROSOFT Tábor s.r.o. Návod k použití Deska identifikace FASTOS IDENT 2000 AGROSOFT Tábor s.r.o. AGROSOFT Tábor s.r.o. IDENT 2000 2 Deska identifikace FASTOS IDENT 2000 verze procesoru 1.42 verze desky 1.1 verze dokumentace

Více

VRTUS 1 snímač hlasových povelů. Technická dokumentace

VRTUS 1 snímač hlasových povelů. Technická dokumentace VRTUS 1 snímač hlasových povelů Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2012 Obsah 1. Úvod... 3 2. Zapojení zařízení... 3 2.1. Připojení napájecího napětí...

Více

TEPL2344 Technická dokumentace PŘEVODNÍK TEPLOTY. typ TEPL2344 s rozhraním RS232. www.aterm.cz

TEPL2344 Technická dokumentace PŘEVODNÍK TEPLOTY. typ TEPL2344 s rozhraním RS232. www.aterm.cz PŘEVODNÍK TEPLOTY typ TEPL2344 s rozhraním RS232 www.aterm.cz 1 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku

Více

TENZOMETRICKÉ MĚŘIDLO

TENZOMETRICKÉ MĚŘIDLO TENZOMETRICKÉ MĚŘIDLO typ TENZ2304 www.aterm.cz 1 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla doložena

Více

Zdroje zajištěného napájení Supply MEg101.3a a Supply MEg101.3b

Zdroje zajištěného napájení Supply MEg101.3a a Supply MEg101.3b Zdroje zajištěného napájení Supply MEg101.3a a Supply MEg101.3b Měřící Energetické Aparáty, a.s. 664 31 Česká 390 Česká republika Zdroje zajištěného napájení Supply MEg101.3a a Supply MEg101.3b Zdroje

Více

Technická dokumentace ČTEČKA ČIPŮ DALLAS. typ DSRS2130. www.aterm.cz

Technická dokumentace ČTEČKA ČIPŮ DALLAS. typ DSRS2130. www.aterm.cz ČTEČKA ČIPŮ DALLAS typ DSRS2130 www.aterm.cz 1 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla doložena

Více

Příloha č. 1. Prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků. (popis jednotlivých bloků)

Příloha č. 1. Prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků. (popis jednotlivých bloků) Příloha č. 1 Prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků (popis jednotlivých bloků) Úvod Sofistikované zařízení pro ohřev aktivních vložek, slouží

Více

PX257 RELAY NÁVOD K OBSLUZE

PX257 RELAY NÁVOD K OBSLUZE PX257 Tranzistorový spínač osvětlení PX257 OC Reléový spínač osvětlení PX257 RELAY NÁVOD K OBSLUZE 1. POPIS PX257 slouží k zapínání/vypínání scénického a architektonického osvětlení. Je ovládán protokolem

Více

Návod k modulu ES550 ES550 Modul pro měření odporových teploměrů v1.00 Popis přístroje

Návod k modulu ES550 ES550 Modul pro měření odporových teploměrů v1.00 Popis přístroje Návod k modulu ES550 ES550 Modul pro měření odporových teploměrů v1.00 Popis přístroje Přístroj měří odpor odporových teploměrů všech běžných typů (Pt100, NI1000 ). Ze získané hodnoty vypočítá teplotu.

Více

UDAQ-1216A UDAQ-1416A. multifunkèní modul pro rozhraní USB

UDAQ-1216A UDAQ-1416A. multifunkèní modul pro rozhraní USB UDAQ-1216A UDAQ-1416A multifunkèní modul pro rozhraní USB Záruèní a pozáruèní servis, technická podpora: adresa: TEDIA spol. s r. o., Zábìlská 12, 31211 Plzeò telefon: +420 377 478 168 fax: +420 377 478

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Třífázové statické činné elektroměry

Třífázové statické činné elektroměry Třífázové statické činné elektroměry ED 310, ED 310.I Displej, odběr i dodávka, 4 tarify Elektroměr ED 310 a ED 310.I (dále jen ED 310) - elektronické, programovatelné elektroměry pro sledování odběru

Více

Číslicový otáčkoměr TD 5.1 AS

Číslicový otáčkoměr TD 5.1 AS Číslicový otáčkoměr TD 5.1 AS Zjednodušená verze otáčkoměru řady TD 5.1 bez seriové komunikace, která obsahuje hlídání protáčení a s možností nastavení 4 mezí pro sepnutí relé. Určení - číslicový otáčkoměr

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Komunikační jednotka MEg202.2

Komunikační jednotka MEg202.2 Komunikační jednotka MEg202.2 Měřící Energetické Aparáty Komunikační jednotka MEg202.2 Komunikační jednotka MEg202.2 1/ CHARAKTERISTIKA Komunikační jednotka GPRS MEg202.2 v základním provedení zajišťuje

Více

Registrační teploměr

Registrační teploměr Popis zapojení: Registrační teploměr ukládá aktuální teplotu do paměti EEPROM v intervalu jedné hodiny. Zařízení je vybaveno zdrojem reálného času (RTC), který zároveň probouzí mikroprocesor ze stavu spánku.

Více

PRESTO. USB programátor. Uživatelská příručka

PRESTO. USB programátor. Uživatelská příručka PRESTO USB programátor Uživatelská příručka OBSAH 1. PRESTO 3 1.1. Použití 3 2. Obsah dodávky 3 3. Instalace 3 3.1. Instalace ovladačů 3 3.2. Instalace programu UP 4 4. Popis programovacího konektoru 5

Více

PC-D246A. pro 2-vodičový systém D2. Uživatelský manuál. www.domovni-videotelefony.cz

PC-D246A. pro 2-vodičový systém D2. Uživatelský manuál. www.domovni-videotelefony.cz PC-D246A pro 2-vodičový systém D2 Uživatelský manuál www.domovni-videotelefony.cz Části a funkce PC-D246A je venkovní dveřní audio jednotka bez kamerové jednotky. Je určena pro 2-vodičový systém. Přední

Více

MĚŘIČ DÉLKY. typ MD6LED/1-C provedení 2131A s rozsahem měření 999,999 až 9999,999m. www.aterm.cz. Měřič délky MD6LED/1-C Technická dokumentace

MĚŘIČ DÉLKY. typ MD6LED/1-C provedení 2131A s rozsahem měření 999,999 až 9999,999m. www.aterm.cz. Měřič délky MD6LED/1-C Technická dokumentace MĚŘIČ DÉLKY typ MD6LED/1-C provedení 2131A s rozsahem měření 999,999 až 9999,999m 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a

Více

SEA. TERM WDG verze 1. Uživatelský návod. Verze 1.02

SEA. TERM WDG verze 1. Uživatelský návod. Verze 1.02 SEA TERM WDG verze 1 Uživatelský návod Verze 1.02 Copyright 2004-2009 SEA, společnost s ručením omezeným. All Rights Reserved. TERM WDG verze 1, Uživatelský návod, verze 1.02 Datum poslední změny: 29.04.2009

Více

Převodník USB na RS232. Milan Horkel

Převodník USB na RS232. Milan Horkel USBR0A Převodník USB na RS Milan Horkel Modul slouží jako univerzální převodník z USB na RS s výstupy na straně RS v úrovních TTL. Převodník používá obvod FTR od firmy FTDI. Tyto obvody jsou podporované

Více

KP100, KP500, SDC010. Snímače pro bezkontaktní karty, digitální klávesnice, snímače pro DALLAS čipy a řídicí jednotky SBC500/1000

KP100, KP500, SDC010. Snímače pro bezkontaktní karty, digitální klávesnice, snímače pro DALLAS čipy a řídicí jednotky SBC500/1000 Snímače pro bezkontaktní karty, digitální klávesnice, pro DALLAS čipy a řídicí jednotky SBC500/1000 Optimálního výkonu lze dosáhnout pouze při dodržování pokynů v návodech, výrobce si vyhrazuje právo na

Více

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr. 5.2-1: Analogový vstupní modul 07 AI 91

Obsah. Zobrazovací a ovládací prvky na čelním panelu. Účel použití. Elektrické zapojení. Obr. 5.2-1: Analogový vstupní modul 07 AI 91 5. Analogový vstupní modul 07 AI 91 8 vstupů, konfigurovatelných pro teplotní senzory nebo jako proudové nebo napěťové vstupy, napájení 4 V DC, CS31 - linie 1 1 3 4 Obr. 5.-1: Analogový vstupní modul 07

Více

TENZOMETRICKÝ MĚŘIČ. typ Tenz2293. www.aterm.cz

TENZOMETRICKÝ MĚŘIČ. typ Tenz2293. www.aterm.cz TENZOMETRICKÝ MĚŘIČ typ Tenz2293 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla doložena shoda s příslušnými

Více

Návod k instalaci a seřízení SNÍMAČ ROSNÉHO BODU A TEPLOTY MODEL EE35

Návod k instalaci a seřízení SNÍMAČ ROSNÉHO BODU A TEPLOTY MODEL EE35 Návod k instalaci a seřízení SNÍMAČ ROSNÉHO BODU A TEPLOTY MODEL EE35 Návod na montáž a obsluhu EE35 Obsah: 1 Úvod...3 1.1 Základní bezpečnostní informace...3 1.2 Bezpečnostní informace pro alarmový modul

Více

Řídicí systémy řady 400 str.2 z 16 MICROPEL

Řídicí systémy řady 400 str.2 z 16 MICROPEL Řídicí systémy řady 400 2. verze dokumentu, MICROPEL s.r.o. 01.2014 - opravena chyba v číslování svorek I/O na str.7 - aktualizovány všechny ilustrace na změněné umístění portu Řídicí systémy řady 400

Více

POKLADNÍ DISPLEJ LCD. www.virtuos.cz virtuos@virtuos.cz. hotline: 493 544 400. strana 1

POKLADNÍ DISPLEJ LCD. www.virtuos.cz virtuos@virtuos.cz. hotline: 493 544 400. strana 1 POKLADNÍ DISPLEJ LCD VLASTNOSTI Podsvícený displej LCD s vysokým kontrastem umožňuje čtení z velkého bočního úhlu K ovládání displeje je použit standardní seriový port RS-232 (9600bps) Snadné programování

Více

TENZOMETRICKÝ PŘEVODNÍK

TENZOMETRICKÝ PŘEVODNÍK TENZOMETRICKÝ PŘEVODNÍK S DIGITÁLNÍM NULOVÁNÍM typ TENZ 2215 ve skříňce DIN35 www.aterm.cz 1 1. ÚVOD...3 2. OBECNÝ POPIS TENZOMETRICKÉHO PŘEVODNÍKU...4 3. TECHNICKÝ POPIS TENZOMETRICKÉHO PŘEVODNÍKU...4

Více

Řídící jednotka pro 2 čtečky SL20

Řídící jednotka pro 2 čtečky SL20 Řídící jednotka pro 2 čtečky SL20 Návod pro instalaci Verze hardware SL20.3 od verze firmware: 2.67 Popis SL20 v2.67.doc - strana 1 (celkem 12) Popis funkce SL20 je sběrnicová jednotka pro ovládání dvou

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

TCL. Měřící modul proudu 4-20mA s výstupem RS485

TCL. Měřící modul proudu 4-20mA s výstupem RS485 Měřící modul proudu 4-20mA s výstupem POPIS Modul je určen pro měření proudu 4 až 20 ma (unifikovaný proudový signál), který bývá výstupní veličinou mnoha snímačů, čidel a dalších zařízení. Vstupní proud

Více

Typ Napětí Hmotnost kg

Typ Napětí Hmotnost kg 9.50/ nova0 Kompaktní automatizační stanice Stanice nova0 je nejmenší kompaktní jednotkou výrobkové řady systému EY3600. Slouží k ovládání a regulaci topení, vzduchotechniky a chlazení i pro všechny ostatní

Více

INTELIFORM V.2 Návod ke stavbě a k použití

INTELIFORM V.2 Návod ke stavbě a k použití ICQ: 168219384 email: tichytomas@centrum.cz 9.dubna 2005 INTELIFORM V.2 Návod ke stavbě a k použití 1. Vlastnosti INTELIFORM v.2 nabíjecí proud 0-0,5A hladký plynule nastavitelný vybíjecí proud 0-0,5A

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Technická zpráva - FI - VG20102015006-2011 03 Ing. Filip Orság, Ph.D. Fakulta informačních technologií, Vysoké učení technické v Brně

Více

Rozšiřující modul s protokolem MODBUS

Rozšiřující modul s protokolem MODBUS Rozšiřující modul s protokolem MODBUS Návod na obsluhu Verze 1.00 dmm-ui8do8_g_cz_100 AMiT, spol. s r. o. nepřejímá žádné záruky, pokud se týče obsahu této publikace a vyhrazuje si právo měnit obsah dokumentace

Více

Detektor mobilní komunikace DMC - 3 popis a návod k použití Před použitím přístroje si prosím přečtěte tento návod

Detektor mobilní komunikace DMC - 3 popis a návod k použití Před použitím přístroje si prosím přečtěte tento návod Detektor mobilní komunikace DMC - 3 popis a návod k použití Před použitím přístroje si prosím přečtěte tento návod Verze S1.7 DMC-3 je vysoce citlivý selektivní detektor vf signálu pracující v rozsahu

Více

Návod k použití. Deska krmení FASTOP BOX2-TI. AGROSOFT Tábor s.r.o.

Návod k použití. Deska krmení FASTOP BOX2-TI. AGROSOFT Tábor s.r.o. Návod k použití Deska krmení FASTOP BOX2-TI AGROSOFT Tábor s.r.o. AGROSOFT Tábor s.r.o. BOX2-TI Strana: 2 Deska krmení FASTOP BOX2-TI verze procesoru 13 verze desky 1.0 verze dokumentace 1.0.0 Autor: Petr

Více

Všeobecné pokyny k instalaci LED systémů

Všeobecné pokyny k instalaci LED systémů Všeobecné pokyny k instalaci LED systémů LED se dodávají ve dvou základních variantách, s napájecím napětím 12V, 24V, DC/AC a LED napájené proudově, je nutno bezpodmínečně tyto hodnoty dodržet. Nevhodné

Více

URMET DOMUS DIGITÁLNÍ SYSTÉM 1072 DIGITÁLNÍ SYSTÉM 1072 OBECNÁ ČÁST

URMET DOMUS DIGITÁLNÍ SYSTÉM 1072 DIGITÁLNÍ SYSTÉM 1072 OBECNÁ ČÁST DIGITÁLNÍ SYSTÉM 1072 OBECNÁ ČÁST Systém 1072 je vhodný pro nové instalace i pro modernizaci stávajících systémů domovních telefonů a videotelefonů malé a střední velikosti. Základní vlastnosti: Instalace

Více

Snadné a přesné získávání charakteristik půdní vlhkosti

Snadné a přesné získávání charakteristik půdní vlhkosti Datový list Snadné a přesné získávání charakteristik půdní vlhkosti Obsah půdní vlhkosti nikoliv pouze trendy Nízká citlivost na salinitu a teplotu Přenosný měřič vhodný pro měření na mnoha místech Jednoúčelová

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Napájecí zdroj Supply MEg101.5

Napájecí zdroj Supply MEg101.5 Napájecí zdroj Supply MEg101.5 MEgA Měřící Energetické Aparáty, a.s. 664 31 Česká 390 Česká republika Napájecí zdroj Supply MEg101.5 Napájecí zdroj Supply MEg101.5 1/ Charakteristika Napájecí zdroj Supply

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

GO80 TargGR-EM. Čtečka tf hit pro panely Targha. Kompletní příručka

GO80 TargGR-EM. Čtečka tf hit pro panely Targha. Kompletní příručka GO80 TargGR-EM Čtečka tf hit pro panely Targha Kompletní příručka 2014, TECHFASS s.r.o., Věštínská 1611/19, 153 00 Praha 5, www.techfass.cz, techfass@techfass.cz (vydáno dne: 2014/06/06, platné pro FW

Více

Uživatelská příručka - diagnostický systém

Uživatelská příručka - diagnostický systém Uživatelská příručka - diagnostický systém v 2.6.9 Autodiagnostika ROBEKO www.autodiagnostika-obd.cz Obsah: 1. Úvod : 1.1 Spuštění programu...4 1.2 Základní obrazovka...4 2. Základní funkce : 2.1 Navázání

Více

SuperCom. Stavebnice PROMOS Line 2. Technický manuál

SuperCom. Stavebnice PROMOS Line 2. Technický manuál ELSACO, Jaselská 77 28000 KOLÍN, CZ tel/fax +420-32-727753 http://www.elsaco.cz mail: elsaco@elsaco.cz Stavebnice PROMOS Line 2 SuperCom Technický manuál 2. 04. 2005 2005 sdružení ELSACO Účelová publikace

Více

Uživatelská příručka

Uživatelská příručka Rele Control Elektronické ovládání výstupů Uživatelská příručka ver. 1.36 (09/02/2006) revize 07.10.2006 HW PROGRESS Milan Jaroš OBSAH: 1 Seznámení... 3 1.1 Určení... 3 1.2 Základní údaje... 3 1.3 Složení

Více

L A B O R A T O R N Í C V I Č E N Í

L A B O R A T O R N Í C V I Č E N Í Univerzita Pardubice Ústav elektrotechniky a informatiky Pardubice, Studentská 95 L A B O R A T O R N Í C V I Č E N Í Příjmení Paar Číslo úlohy: 2 Jméno: Jiří Datum měření: 15. 5. 2007 Školní rok: 2006

Více

Popis a návod k obsluze modulu Smart GM02 v 1.0

Popis a návod k obsluze modulu Smart GM02 v 1.0 1. Úvod Modul Smart GM02 slouží k jednoduchému ovládání libovolného zařízení se spínacím vstupem, jako jsou například závory, vrata a podobná zařízení, prostřednictvím mobilního telefonu. Pro ovládaní

Více

Způsoby realizace paměťových prvků

Způsoby realizace paměťových prvků Způsoby realizace paměťových prvků Interní paměti jsou zapojeny jako matice paměťových buněk. Každá buňka má kapacitu jeden bit. Takováto buňka tedy může uchovávat pouze hodnotu logická jedna nebo logická

Více

Automat pro ovládání osvětlení schodiště SA 54 Technická dokumentace

Automat pro ovládání osvětlení schodiště SA 54 Technická dokumentace Automat pro ovládání osvětlení schodiště SA 54 Technická dokumentace Obsah 1. Seznámení 2. Popis funkce 3. Popis zapojení automatu pro ovládání osvětlení schodiště SA 54 3.1 Deska SA 54-A 3.2 Deska SA

Více

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

napájecí napětí vlastní odběr zařízení podporované funkce počet bitů stopbit nastavitelné adresy maximální počet zařízení na lince

napájecí napětí vlastní odběr zařízení podporované funkce počet bitů stopbit nastavitelné adresy maximální počet zařízení na lince Převodník (gateway) bezdrátových prvků standardu EnOcean pro komunikaci RS 485 MODBUS RTU Modbus-RTU Příjem bezdrátových teplotních čidel, teplotních čidel s vlhkostí, okenních a dveřních kontaktů, spínačů,

Více

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

ROZHRANÍ 4 VSTUPŮ/VÝSTUPŮ. 4x OPTICKY ODDĚLENÉ LOG. VSTUPY 4x RELÉ SPÍNACÍ VÝSTUPY OVLÁDÁNÍ: LINKA RS232

ROZHRANÍ 4 VSTUPŮ/VÝSTUPŮ. 4x OPTICKY ODDĚLENÉ LOG. VSTUPY 4x RELÉ SPÍNACÍ VÝSTUPY OVLÁDÁNÍ: LINKA RS232 IO RS232 ROZHRANÍ 4 VSTUPŮ/VÝSTUPŮ 4x OPTICKY ODDĚLENÉ LOG. VSTUPY 4x RELÉ SPÍNACÍ VÝSTUPY OVLÁDÁNÍ: LINKA RS232 4x relé IO RS232 CPU RS 232 4x vstup POPIS Modul univerzálního rozhraní IORS 232 je určen

Více

Indikátor stavu pojistky MEg72. Uživatelská příručka

Indikátor stavu pojistky MEg72. Uživatelská příručka Indikátor stavu pojistky MEg72 Uživatelská příručka MEg Měřící Energetické paráty, a.s. 664 31 Česká 390 Česká republika Indikátor stavu pojistkymeg72 uživatelská příručka Indikátor stavu pojistky MEg72

Více

PC-525. Programovatelný kanálový procesor SÉRIE 905 KANÁLOVÉ PROCESORY 1. BEZPEČNOSTNÍ INSTRUKCE

PC-525. Programovatelný kanálový procesor SÉRIE 905 KANÁLOVÉ PROCESORY 1. BEZPEČNOSTNÍ INSTRUKCE PC-525 Programovatelný kanálový procesor Kanálový procesor ALCAD PC-525 je určen ke zpracování digitálního nebo analogového TV signálu v pásmu 47-862 MHz. PC-525 je plně kompatibilní s dalšími prvky hlavní

Více

TENZOMETRICKÝ PŘEVODNÍK

TENZOMETRICKÝ PŘEVODNÍK TENZOMETRICKÝ PŘEVODNÍK typ TZA1xxxx s napěťovým výstupem www.aterm.cz 1 Obsah 1. Úvod 3 2. Obecný popis tenzometrického převodníku 4 3. Technický popis tenzometrického převodníku 4 4. Nastavení tenzometrického

Více

RE8USB modul s 8 vstupy a 8 výstupy ovládaný z USB

RE8USB modul s 8 vstupy a 8 výstupy ovládaný z USB RE8USB modul s 8 vstupy a 8 výstupy ovládaný z USB Popis k obrázku JP1, JP2 dvě propojky, každá pro jednu čtveřici vstupů (JP1 IN1 až IN4, JP2 IN5 až IN8). JP2 spojeno kontaktní vstupy IN5 až IN8, rozpojeno

Více

Operační paměti počítačů PC

Operační paměti počítačů PC Operační paměti počítačů PC Dynamické paměti RAM operační č paměť je realizována čipy dynamických pamětí RAM DRAM informace uchovávána jako náboj na kondenzátoru nutnost náboj pravidelně obnovovat (refresh)

Více

Napájecí modul Sběrnicový modul

Napájecí modul Sběrnicový modul s 8 183 8183p01, p02 TX-I/O Napájecí modul Sběrnicový modul TXS1.12F10 TXS1.EF10 Napájecí modul TXS1.12F10 je možno paralelně zapojit až 4 napájecí moduly Napájecí napětí AC 24 V Převodník na DC 24 V,

Více

AWGD 46 MWGD 46. Dveřní moduly APS mini / APS mini Plus s rozhraním WIEGAND s podporou zámků APERIO. Uživatelská příručka

AWGD 46 MWGD 46. Dveřní moduly APS mini / APS mini Plus s rozhraním WIEGAND s podporou zámků APERIO. Uživatelská příručka AWGD 46 MWGD 46 Dveřní moduly APS mini / APS mini Plus s rozhraním WIEGAND s podporou zámků APERIO Uživatelská příručka 2004 2012, TECHFASS s.r.o., Věštínská 1611/19, 153 00 Praha 5, www.techfass.cz, techfass@techfass.cz

Více

kybez nutnosti stálé kontroly

kybez nutnosti stálé kontroly . kybez nutnosti stálé kontroly ky ThinkTop AS-Interface 29.5-31.6 VDC Použití Jednotka ThinkTop zajišťuje optimální řízení všech Alfa Laval sanitárních ventilů a je kompatibilní se všemi hlavními PLC

Více

Převodník DCPSE. Technická dokumentace

Převodník DCPSE. Technická dokumentace Převodník DCPSE Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2011 Obsah 1. Úvod... 3 2. Upozornění... 3 3. Zapojení do obvodu zátěže... 4 4. Zapojení výstupu S0...

Více

Ulog univerzá lnízapisovač a zobrazovač napě tí

Ulog univerzá lnízapisovač a zobrazovač napě tí Ulog univerzá lnízapisovač a zobrazovač napě tí Vá ženýuživateli, do rukou se Vá m dostá vá přístroj s možností měřit, zobrazovat a uklá dat zobrazené a naměřené hodnoty do pamě ti. Ty je pak dá le možno

Více