Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Rozměr: px
Začít zobrazení ze stránky:

Download "Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody"

Transkript

1 Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody

2 Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše čipu (křemíkového plátku) velikosti řádově několik mm 2 je integrováno (soustředěno) velké množství aktivních i pasivních součástek; spolu tvoří elektrický obvod (vykonávají společnou funkci) zejména tranzistorů, diod, rezistorů kondenzátory a indukčnosti se realizují obtížně

3 počet součástek může být v řádu od desítek až po několik miliónů čip je zapouzdřen v plastovém nebo keramickém pouzdru, na okrajích pouzdra jsou vyvedeny nožičky (piny) cíl návrhářů: zvyšovat počet součástek na jednotkovou plochu čipu (zvyšovat stupeň integrace)

4 stupeň integrace se udává: počtem tranzistorů počtem tzv. ekvivalentních hradel kolik běžných diskrétních hradel bychom potřebovali k realizaci daného obvodu stupeň integrace závisí na zvládnuté technologii při návrhu IO návrháři umísťují součástky a vodivé cesty do rastru dnes Intel 20 nm, přejde na 14 nm součástky a cesty jsou na čipu ve více vrstvách více úrovní metalizace

5 Ukázka integrovaného obvodu

6 Dělení integrovaných obvodů dělení z hlediska technologie výroby hybridní integrované obvody historické, dnes se již nepoužívají miniaturní plošný spoj je osazen miniaturními diskrétními součástkami monolitické integrované obvody obvod je vytvořen z monolitického bloku krystalu křemíku

7 Pouzdra integrovaných obvodů na použitém pouzdru závisejí tepelné (a tím i výkonové) vlastnosti integrovaného obvodu a cena plastové pouzdro je výrobně levnější, ale hůře odvádí teplo keramické pouzdro je dražší, ale lépe odvádí teplo příkon obvodů technologie CMOS závisí kvadraticky na frekvenci hodinového signálu

8 Používaná pouzdra Klasická pouzdra se umísťují do patic nebo se pájejí do plošek s otvorem DIP (DIL) - Dual in Line Package vývody po obou stranách pouzdra

9 Pouzdro DIP

10 Povrchová montáž SMT Surface Montage Technology technologie povrchové montáže princip: součástky SMD (Surface Monage Device) jsou připájeny (nebo přilepeny vodivým lepidlem) na plošky bez otvorů

11 Pouzdro SOP

12 Dělení obvodů podle stupně integrace obvody malé integrace small scale integration - SSI obvody střední integrace middle scale integration - MSI obvody velké integrace large scale integration - LSI obvody velmi velké integrace very large scale integration - VLSI

13 Poznámky: dnes se začíná hovořit o obvodech ULSI Ultra Large Scale Integration hranice mezi kategoriemi a názvy kategorií se mohou lišit v různé literatuře

14 Obvody malé integrace SSI na ploše čipu jednotky, max. desítky hradel hlavní období nasazení: konec 60. let, 70. léta stále se vyrábějí (cena za obvod do 20 Kč) dnešní oblast použití pomocné obvody k obvodům vyšší integrace (zejména k jednočipovým mikropočítačům) v tzv. embeded aplikacích (dálkové ovladače, ovládací panely spotřební elektroniky do této kategorie patří nejstarší obvody z řady 74xx a CMOS 4000, jako 7400, 7402, 7420, 7474, 74125

15 Některé obvody SSI

16 Příklady aplikace obvodu SSI: rozsvěcování výkonové LED pin procesoru není schopen dodat dostatečný proud použijeme pro napájení LED obvod 74125, což je výkonový třístavový budič, a zapojíme jej mezi pin procesoru (obvod VLSI) a diodu. dlouhé vodiče sběrnice na desce plošných spojů použijeme k vybuzení obvodu (8x třístavový výkonový obousměrný budič sběrnice)

17 Obvody střední integrace MSI na ploše čipu desítky až stovky hradel multiplexory, demultiplexory, komparátory, vícebitové klopné obvody (registry), posuvné registry, čítače, dekodéry a jednoduché aritmetické obvody (např. čtyřbitová sčítačka) aj. na zásuvné kartě ISA do počítače PC z počátku 90. let nalezneme ještě asi polovinu obvodů MSI dnešní uplatnění: stejné jako u obvodů malé integrace doplňkové obvody

18 Některé obvody MSI

19 Dekodér pro 7-segmentovou jednotku (7449) b0 b1 b2 b3 Dekodér A B C D E F G

20 Pravdivostní tabulka dekodéru segment svítí, je-li na jeho vstupu log. 0 (jednotka konstruována se společnou anodou) b 3 b 2 b 1 b 0 A B C D E F G

21 Příklad aplikace obvodu MSI: zobrazení cifer na dvou sedmisegment. jednotkách použijeme posuvné registry

22 Multiplexor významný kombinační obvod z kategorie MSI používá se jako stavební blok při návrhu obvodů VLSI (procesorů) zkratka: MPX, MUX česky: selektor dat funkce: vybírá na výstup o jeden z n datových vstupů i 0 až i n-1 počet vstupů je roven mocnině dvou výběr se určuje řídicími signály s

23 Příklad: schématická značka 4-vstupového MPX pravdivostní tabulka

24 rovnice a vnitřní schéma

25 Příklad: obvod osmivstupový multiplexor Použití multiplexoru výběr zdroje dat pro aritmetickou jednotku počítače výběr dat při časovém multiplexu (řídicí výběrové signály buzeny čítačem)

26 Výběr zdroje dat pro aritmetickou jednotku procesoru pomocí multiplexoru

27 Demultiplexor/dekodér obvod s opačnou funkcí než multiplexor funkce: vstup i je kopírován na jeden z n výstupů o 0 až o n-1 počet výstupů je roven určité mocnině dvou, výběr výstupu se určuje řídicími signály ostatní nevybrané výstupy mají zpravidla hodnotu log. 0

28 Příklad: obvod dekodér s osmi výstupy Použití: dekodér adresy

29 Pravdivostní tabulka demultiplexoru:

30 Využití demultiplexoru jako dekodéru adresy D0-D7 CLK A0 A1 vstupní data povolení zápisu \WE (write enable) S0 S1 E0 E1 E2 E3 demultiplexor/dekodér 8 R0 \WE D CLK R1 \WE D CLK R2 \WE D CLK R3 \WE D CLK

31 Obvody velké integrace a velmi velké integrace LSI - tisíce až statisíce hradel VLSI až milióny hradel (tranzistorů) první procesor Pentium měl asi 5 mil. tranzistorů na čipu obvod Virtex 7 od firmy XILINX má 6,8 miliard tranzistorů na čipu obvody VLSI mohou být univerzální procesory speciální řadiče graf. karet, řídicí obvody do letadel vyrobené na přání zákazníka

32 plně zákaznické Dělení obvodů VLSI zákazník si navrhne obvod zcela sám, výrobce jej vyrobí (velmi drahé) polozákaznické zákazník používá při návrhu obvodu prefabrikované bloky ASIC aplikačně specifické integrované obvody speciální: programovatelné obvody zákazníkem

33 Programovatelné obvody univerzální číslicové obvody, funkci určuje zákazník obecné označení PLD Programmable Logic Devices obsahují základní logické členy, vodiče a mezi nimi programovatelné propojovací prvky (spínací tranzistory) princip: zákazník ve speciálním software nakreslí schéma, sw jej zpracuje (syntéza), výsledkem jsou konfigurační data pro obvod

34 74AHC00D

35 Motorola MC705

36 Motorola MC68010

37 Altera Stratix IV

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

ELEKTRONICKÉ SOUČÁSTKY

ELEKTRONICKÉ SOUČÁSTKY ELEKTRONICKÉ SOUČÁSTKY POUZDŘENÍ ČIP POUZDRO ZÁKLADNA umožňuje připojení OCHRANNÝ KRYT ne vždy POUZDRO ZÁKLADNÍ FUNKCE rozvod napájení rozvod signálu odvod tepla zajištění mechanické pevnosti zajištění

Více

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta Tower

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta Tower Popis zapojení a návod k osazení desky plošných spojů STN-A varianta Tower Desku plošných spojů (DPS) STN-A je možné osadit více způsoby. Na tomto místě se budeme zabývat variantou Tower, která je určena

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEIII - 3.0.2 ÚVOD DO SMT TECHNOLOGIE II

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEIII - 3.0.2 ÚVOD DO SMT TECHNOLOGIE II Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEIII - 3.0.2 ÚVOD DO SMT TECHNOLOGIE II Obor: Mechanik elektronik Ročník: 3. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt

Více

červená LED 1 10k LED 2

červená LED 1 10k LED 2 Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

Kroužek elektroniky 2010-2011

Kroužek elektroniky 2010-2011 Dům dětí a mládeže Bílina Havířská 529/10 418 01 Bílina tel. 417 821 527 http://www.ddmbilina.cz e-mail: ddmbilina@seznam.cz Kroužek elektroniky 2010-2011 Dům dětí a mládeže Bílina 2010-2011 1 (pouze pro

Více

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

Operační paměti počítačů PC

Operační paměti počítačů PC Operační paměti počítačů PC Dynamické paměti RAM operační č paměť je realizována čipy dynamických pamětí RAM DRAM informace uchovávána jako náboj na kondenzátoru nutnost náboj pravidelně obnovovat (refresh)

Více

Polovodičov. ové prvky. 4.přednáška

Polovodičov. ové prvky. 4.přednáška Polovodičov ové prvky 4.přednáška Polovodiče Základem polovodičových prvků je obvykle čtyřmocný (obsahuje 4 valenční elektrony) krystal křemíku (Si). Čisté krystaly křemíku mají za pokojové teploty jen

Více

Elektronická stavebnice: Deska s jednočipovým počítačem

Elektronická stavebnice: Deska s jednočipovým počítačem Elektronická stavebnice: Deska s jednočipovým počítačem Modul s jednočipovým počítačem Modul s řídícím jednočipovým počítačem je centrálním prvkem stavebnice. Jeho konstrukce umožňuje přímé připojení do

Více

CHARAKTERISTIKY MODELŮ PC

CHARAKTERISTIKY MODELŮ PC CHARAKTERISTIKY MODELŮ PC Historie: červenec 1980 skupina 12 pracovníků firmy IBM byla pověřena vývojem osobního počítače 12. srpna 1981 byl počítač veřejně prezentován do konce r. 1983 400 000 prodaných

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ 3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné v souhlasu se zadanou logickou funkcí.

Více

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor FASTPort Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům aneb Jak připojit koprocesor *) inteligentní karta = karta vybavená vlastním procesorem J. Němeček 12. 10. 2013 úvodní

Více

Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem

Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem Elektronická stavebnice: Generátor frekvence s optickým a akustickým výstupem Generátor se skládá z několika samostatných modulů stavebnice pro zvýšení modulárnosti celého systému a možnosti širšího využití.

Více

Ovladač příslušenství - XbusTCO

Ovladač příslušenství - XbusTCO VI Ovladač příslušenství - XbusTCO A Úvod XbusTCO je vytvořen k ovládání příslušenství vybaveného DCC dekodéry z Optického ovládacího panelu (Tablero de Control Óptico / Turnout controller Optical) s použitím

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

3. D/A a A/D převodníky

3. D/A a A/D převodníky 3. D/A a A/D převodníky 3.1 D/A převodníky Digitálně/analogové (D/A) převodníky slouží k převodu číslicově vyjádřené hodnoty (např. v úrovních TTL) ve dvojkové soustavě na hodnotu nějaké analogové veličiny.

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

éra elektrického proudu a počítačů 3. generace

éra elektrického proudu a počítačů 3. generace 3. generace Znaky 3. generace tranzistory vydávaly teplo - poškozování dalších součástek uvnitř počítače vynález integrovaného obvodu (IO) zvýšení rychlosti, zmenšení rozměrů modely relativně malých osobních

Více

Povrchová montáž 1. SMT 2. SMD

Povrchová montáž 1. SMT 2. SMD Povrchová montáž Při klasické montáži jsou součástky s drátovými přívody po předchozím natvarování aostřižení zasouvány do pokovených nebo neprokovených děr desky s plošnými spoji a následně zapájeny ze

Více

varikapy na vstupu a v oscilátoru (nebo s ladicím kondenzátorem) se dá citlivost nenároèných aplikacích zpravidla nevadí.

varikapy na vstupu a v oscilátoru (nebo s ladicím kondenzátorem) se dá citlivost nenároèných aplikacích zpravidla nevadí. FM tuner TES 25S Pavel Kotráš, Jaroslav Belza Návodù na stavbu FM pøijímaèù bylo otištìno na stránkách PE a AR již mnoho. Vìtšinou se však jednalo o jednoduché a nepøíliš kvalitní pøijímaèe s obvody TDA7000

Více

ELEKTRONICKÁ ČASOMÍRA

ELEKTRONICKÁ ČASOMÍRA Středoškolská technika 2011 Setkání a prezentace prací středoškolských studentů na ČVUT ELEKTRONICKÁ ČASOMÍRA Šárka Vávrová SPŠ elektrotechniky, informatiky a řemesel, Frenštát pod Radhoštěm, p. o. Křižíkova

Více

STŘEDOŠKOLSKÁ TECHNIKA 2013

STŘEDOŠKOLSKÁ TECHNIKA 2013 STŘEDOŠKOLSKÁ TECHNIKA 2013 Setkání a prezentace prací středoškolských studentů na ČVUT Návrh systému inteligentního domu Pavel Mikšík Brno 2013 Setkání a prezentace prací středoškolských studentů na ČVUT

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření A/D a D/A převodnp evodníky Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 A/D a D/A převodníky 1 Důvody převodu signálů

Více

Příloha č. 1. Prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků. (popis jednotlivých bloků)

Příloha č. 1. Prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků. (popis jednotlivých bloků) Příloha č. 1 Prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků (popis jednotlivých bloků) Úvod Sofistikované zařízení pro ohřev aktivních vložek, slouží

Více

Historie počítačů. 0.generace. (prototypy)

Historie počítačů. 0.generace. (prototypy) Historie počítačů Historie počítačů se dělí do tzv. generací, kde každá generace je charakteristická svou konfigurací, rychlostí počítače a základním stavebním prvkem. Generace počítačů: Generace Rok Konfigurace

Více

Popis zapojení a návod k osazení desky plošných spojů STN-CV2

Popis zapojení a návod k osazení desky plošných spojů STN-CV2 Popis zapojení a návod k osazení desky plošných spojů STN-CV2 STN-CV2 je aplikací zaměřenou především na motoricky řízené přestavníky výměn. Dle osazení DPS je možná detekce doteku jazyků výměny s opornicí.

Více

MIKROELEKTRONIKA A TECHNOLOGIE SOUČÁSTEK

MIKROELEKTRONIKA A TECHNOLOGIE SOUČÁSTEK Fakulta elektrotechniky a komunikačních technologií Vysoké učení technické v Brně MIKROELEKTRONIKA A TECHNOLOGIE SOUČÁSTEK Garant předmětu: Doc. Ing. Ivan Szendiuch, CSc. Autor textu: Doc. Ing. Ivan Szendiuch,

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

XXXIII Celostátní olympiáda znalostí elektriky a elektroniky Krosno 25. března 2010 TEST PRO ELEKTRONICKOU SKUPINU

XXXIII Celostátní olympiáda znalostí elektriky a elektroniky Krosno 25. března 2010 TEST PRO ELEKTRONICKOU SKUPINU XXXIII elostátní olympiáda znalostí elektriky a elektroniky Krosno. března TEST PO ELEKTONIKO SKPIN Vysvětlení: Než odpovíš na otázku, pečlivě přečti níže uvedený text. Test obsahuje otázek. Odpovědi musejí

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Odrušení plošných spoj Vlastnosti plošných spoj Odpor Kapacitu Induk nost mikropáskového vedení Vlivem vzájemné induk nosti a kapacity eslechy

Odrušení plošných spoj Vlastnosti plošných spoj Odpor Kapacitu Induk nost mikropáskového vedení Vlivem vzájemné induk nosti a kapacity eslechy Odrušení plošných spojů Ing. Jiří Vlček Tento text je určen pro výuku praxe na SPŠE. Doplňuje moji publikaci Základy elektrotechniky Elektrotechnologii. Vlastnosti plošných spojů Odpor R = ρ l/s = ρ l/t

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

Digitronové digitální hodiny

Digitronové digitální hodiny Středoškolská technika 2013 Setkání a prezentace prací středoškolských studentů na ČVUT Digitronové digitální hodiny Jakub Rezek Radek VOKOUN Obsah Úvod... 2 Popis výrobku... 3 Funkce digitronu... 3 Popis

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

OK1XGL 2014 1/34 Verze 1.2.2. Závodní modul OK1XGL@seznam.cz CONTEST INTERFACE

OK1XGL 2014 1/34 Verze 1.2.2. Závodní modul OK1XGL@seznam.cz CONTEST INTERFACE OK1XGL 2014 1/34 Verze 1.2.2 Závodní modul OK1XGL@seznam.cz OK1XGL 2014 2/34 Verze 1.2.2 1 Obsah 1 Obsah 2 2 Zadání 3 3 Popis funkce 3 4 Dosažené výsledky 3 4.1 Obecné 3 4.2 Připojení k počítači PC 3 4.3

Více

Detektor plynu. Srdcem zapojení je senzor plynu od firmy Figaro, která má v této oblasti dlouhou tradici.

Detektor plynu. Srdcem zapojení je senzor plynu od firmy Figaro, která má v této oblasti dlouhou tradici. Detektor plynu Hlavní motivací pro vznik této konstrukce byl můj 3letý syn, který má kladný vztah k domácím spotřebičům. Knoflíky na plynovém sporáku jsou obzvláště zajímavým cílem jeho výprav - plyn tak

Více

Maticová klávesnice. Projekt do předmětu Subsystémy PC. Brno, 2002-2003. Tomáš Kreuzwieser, Ondřej Kožín

Maticová klávesnice. Projekt do předmětu Subsystémy PC. Brno, 2002-2003. Tomáš Kreuzwieser, Ondřej Kožín Maticová klávesnice Projekt do předmětu Subsystémy PC Brno, 2002-2003 Tomáš Kreuzwieser, Ondřej Kožín Obsah Úvod............................................ 1 1. Hardware........................................

Více

Alfanumerické displeje

Alfanumerické displeje Alfanumerické displeje Alfanumerické displeje jsou schopné zobrazovat pouze alfanumerické údaje (tj. písmena, číslice) a případně jednoduché grafické symboly definované v základním rastru znaků. Výhoda

Více

Aplikace elektroniky. Čím se budeme zabývat? Struktury integrovaných systémů A2M34SIS. Čím se budeme zabývat - cvičení?

Aplikace elektroniky. Čím se budeme zabývat? Struktury integrovaných systémů A2M34SIS. Čím se budeme zabývat - cvičení? Čím se budeme zabývat? Struktury integrovaných systémů A2M34SIS Přednášející: Cvičící: Jiří Jakovenko Vladimír Janíček Jan Novák Historický přehled vývoje integrovaných obvodů, Moorovy zákony, metody návrhu,

Více

Multimetr: METEX M386OD (použití jako voltmetr V) METEX M389OD (použití jako voltmetr V nebo ampérmetr A)

Multimetr: METEX M386OD (použití jako voltmetr V) METEX M389OD (použití jako voltmetr V nebo ampérmetr A) 2.10 Logické Obvody 2.10.1 Úkol měření: 1. Na hradle NAND změřte tyto charakteristiky: Převodní charakteristiku Vstupní charakteristiku Výstupní charakteristiku Jednotlivá zapojení nakreslete do protokolu

Více

Příloha A - Obvodové schéma základní desky

Příloha A - Obvodové schéma základní desky Přílohy Příloha A - Obvodové schéma základní desky Příloha B - Naznačení funkce dvou spínacích polí o velikosti 8 x 4 Legenda: Konektor 1 Spínací pole 1 TxD RxD Konektor 2 TxD RxD - rozepnutý spínací prvek

Více

Hardware ZÁKLADNÍ JEDNOTKA

Hardware ZÁKLADNÍ JEDNOTKA Hardware ZÁKLADNÍ JEDNOTKA RNDr. Jan Preclík, Ph.D. Ing. Dalibor Vích Jiráskovo gymnázium Náchod Skříň počítače case druhy Desktop Midi tower Middle tower Big tower Hardware - základní jednotka 2 Základní

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: T3.2.1 MĚŘENÍ NA UNIPOLÁRNÍCH TRANZISTORECH A IO Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Bc. Josef Mahdal Střední průmyslová škola Uherský Brod,

Více

dodavatel vybavení provozoven firem www.abetec.cz Návrh plošných spojů pro povrchovou montáž Obj. číslo: 105000444 Popis Josef Šandera

dodavatel vybavení provozoven firem www.abetec.cz Návrh plošných spojů pro povrchovou montáž Obj. číslo: 105000444 Popis Josef Šandera dodavatel vybavení provozoven firem www.abetec.cz Návrh plošných spojů pro povrchovou montáž Obj. číslo: 105000444 Popis Josef Šandera Na začátku knihy jsou přehledově zmíněny montážní a pájecí technologie,

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Řídící jednotka pro 2 čtečky SL20

Řídící jednotka pro 2 čtečky SL20 Řídící jednotka pro 2 čtečky SL20 Návod pro instalaci Verze hardware SL20.3 od verze firmware: 2.67 Popis SL20 v2.67.doc - strana 1 (celkem 12) Popis funkce SL20 je sběrnicová jednotka pro ovládání dvou

Více

Příloha č. 1. Software pro prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků

Příloha č. 1. Software pro prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků Příloha č. 1 Software pro prototyp mikroprocesorově řízeného ohřevu aktivních vložek využívající moderních polovodičových prvků (popis jednotlivých bloků) Úvod Navržený software je určen pro mikrokontrolér

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

I/O řídící/měřící deska pro PC VELLEMAN K8055N

I/O řídící/měřící deska pro PC VELLEMAN K8055N I/O řídící/měřící deska pro PC VELLEMAN K8055N Propojte svůj počítač se světem pomocí 5 digitálních vstupů a 8 digitálních výstupů a 2 analogových vstupů a výstupů. Celkem bodů k pájení: 313 Obtížnost:

Více

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448 Střední odborná škola elektrotechnická, Centrum odborné přípravy Zvolenovská 537, Hluboká nad Vltavou Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448 CZ.1.07/1.5.00/34.0448 1 Hardware

Více

Technické podmínky pro zakázkovou výrobu elektroniky

Technické podmínky pro zakázkovou výrobu elektroniky ELO+ s.r.o., Za Nádražím 2609, 397 01 Písek, Česká Republika, tel:+420 382 213 695, fax:+420 382 213 069 vyroba@elo.cz; sales@elo.cz www.elo.cz Technické podmínky pro zakázkovou výrobu elektroniky Tyto

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

Základní deska (mainboard, motherboard)

Základní deska (mainboard, motherboard) Základní deska (mainboard, motherboard) Hlavním účelem základní desky je propojit jednotlivé součástky počítače do fungujícího celku a integrovaným součástem na základní desce poskytnout elektrické napájení.

Více

Vícejádrový procesor. Dvě nebo více nezávislých jader Pro plné využití. podporovat multihreading

Vícejádrový procesor. Dvě nebo více nezávislých jader Pro plné využití. podporovat multihreading Vývoj Jan Smuda, Petr Zajíc Procesor ALU (aritmeticko logická jednotka) Registry Řadič Jednotky pro práci s plovoucí čárkou Cache Vývoj procesorů Predikce skoku Plánování instrukcí Naráží na fyzická omezení

Více

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech Nejjednodušší stavební návody Verze V.4, stav k 5. prosinci 2014. Byl upraven Stavební návod na Cvrčka. Víte o dalších zajímavých návodech?

Více

Převodníky f/u, obvod NE555

Převodníky f/u, obvod NE555 Převodníky f/u, obvod NE555 Na tomto cvičení byste se měli seznámit s funkcí jednoduchého převodníku kmitočet/napětí sestaveného z dvojice operačních zesilovačů. Dále byste se měli seznámit s obvodem NE555.

Více

Polohovací zařízení. Počítačová myš

Polohovací zařízení. Počítačová myš Polohovací zařízení Polohovací zařízení jsou vstupní periferie, jejichž úkolem je umožnit snadnější ovládání programů a programových součástí operačního systému. Jedná se především o pohyb kurzoru po pracovní

Více

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu Název školy Číslo projektu Autor Název šablony Název DUMu Tematická oblast Předmět Druh učebního materiálu Anotace Vybavení, pomůcky Ověřeno ve výuce dne, třída Střední průmyslová škola strojnická Vsetín

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Úvod Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií Olomouc, září

Více

Univerzální jednočipový modul pro řízení krokových motorů

Univerzální jednočipový modul pro řízení krokových motorů Středoškolská odborná činnost 2005/2006 Obor 10 elektrotechnika, elektronika, telekomunikace a technická informatika Univerzální jednočipový modul pro řízení krokových motorů Autor: Jan Fíla SPŠ Trutnov,

Více

Úvod SISD. Sekvenční výpočty SIMD MIMD

Úvod SISD. Sekvenční výpočty SIMD MIMD Úvod SISD Single instruction single data stream Sekvenční výpočty MISD 1. Přednáška Historie Multiple instruction single data stream SIMD Single instruction multiple data stream MIMD Multiple instruction

Více

Číslicové rozváděčové měřicí přístroje DIGEM prioritní program

Číslicové rozváděčové měřicí přístroje DIGEM prioritní program Číslicové rozváděčové měřicí přístroje DIGEM prioritní program řízení procesů, automatizace a laboratorní aplikace třída přesnosti 0,01 až 1 proud, napětí, kmitočet, teplota, otáčky, tlak, atd. LED / LCD

Více

Elektrická informační zařízení pro cestující

Elektrická informační zařízení pro cestující Marie Skřivanová Elektrická informační zařízení pro cestující Klíčová slova: informační zařízení, listová jednotka, LED diody, elektromagnetické bistabilní prvky, displeje s tekutými krystaly. Úvod Úroveň

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

Registrační teploměr

Registrační teploměr Popis zapojení: Registrační teploměr ukládá aktuální teplotu do paměti EEPROM v intervalu jedné hodiny. Zařízení je vybaveno zdrojem reálného času (RTC), který zároveň probouzí mikroprocesor ze stavu spánku.

Více

Úvod do architektur personálních počítačů

Úvod do architektur personálních počítačů Úvod do architektur personálních počítačů 1 Cíl přednášky Popsat principy proudového zpracování informace. Popsat principy zřetězeného zpracování instrukcí. Zabývat se způsoby uplatnění tohoto principu

Více

1 Historie výpočetní techniky

1 Historie výpočetní techniky Úvod 1 Historie výpočetní techniky Základem výpočetní techniky jsou operace s čísly, chcete-li záznam čísel. V minulosti se k záznamu čísel používaly různé předměty, jako například kameny, kosti, dřevěné

Více

Spínače s tranzistory řízenými elektrickým polem. Používají součástky typu FET, IGBT resp. IGCT

Spínače s tranzistory řízenými elektrickým polem. Používají součástky typu FET, IGBT resp. IGCT Spínače s tranzistory řízenými elektrickým polem Používají součástky typu FET, IGBT resp. IGCT Základní vlastnosti spínačů s tranzistory FET, IGBT resp. IGCT plně řízený spínač nízkovýkonové řízení malý

Více

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta RS232-RS485

Popis zapojení a návod k osazení desky plošných spojů STN-A varianta RS232-RS485 Popis zapojení a návod k osazení desky plošných spojů STN-A varianta RS232-RS485 Desku plošných spojů (DPS) STN-A je možné osadit více způsoby. Na tomto místě se budeme zabývat variantou RS232-RS485. Ta

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

Elektronická stavebnice: Teploměr s frekvenčním výstupem

Elektronická stavebnice: Teploměr s frekvenčním výstupem Elektronická stavebnice: Teploměr s frekvenčním výstupem Teploměr s frekvenčním výstupem je realizován spojením modulu běžných vstupů a výstupů spolu s deskou s jednočipovým počítačem a modulem zobrazovače

Více

Signal Mont s.r.o Hradec Králové T71981 List č.: 1 Počet l.: 9. TECHNICKÝ POPIS ELEKTRONICKÉHO ZDROJE BZS 1 - č.v. 71981-275/R96 T 71981

Signal Mont s.r.o Hradec Králové T71981 List č.: 1 Počet l.: 9. TECHNICKÝ POPIS ELEKTRONICKÉHO ZDROJE BZS 1 - č.v. 71981-275/R96 T 71981 Signal Mont s.r.o Hradec Králové T71981 List č.: 1 Signal Mont s.r.o. Kydlinovská 1300 H R A D E C K R Á L O V É TECHNICKÝ POPIS ELEKTRONICKÉHO ZDROJE BZS 1 - č.v. 71981-275/R96 T 71981 JKPOV 404 229 719

Více

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury úvod Programovatelné obvodyřadíme mezi univerzální logické bloky, resp. programovatelné logické moduly. Obecné označení

Více

Popis zapojení a návod k osazení desky plošných spojů STN-DV2

Popis zapojení a návod k osazení desky plošných spojů STN-DV2 Popis zapojení a návod k osazení desky plošných spojů STN-DV2 Příklad osazení A Příklad osazení B Příklad osazení C STN-DV2 je aplikací zaměřenou především na návěstidla, případně cívkové přestavníky výměn.

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

GO80 TargGR-EM. Čtečka tf hit pro panely Targha. Kompletní příručka

GO80 TargGR-EM. Čtečka tf hit pro panely Targha. Kompletní příručka GO80 TargGR-EM Čtečka tf hit pro panely Targha Kompletní příručka 2014, TECHFASS s.r.o., Věštínská 1611/19, 153 00 Praha 5, www.techfass.cz, techfass@techfass.cz (vydáno dne: 2014/06/06, platné pro FW

Více

Základní pojmy a historie výpočetní techniky

Základní pojmy a historie výpočetní techniky Základní pojmy a historie výpočetní techniky Vaše jméno 2009 Základní pojmy a historie výpočetní techniky...1 Základní pojmy výpočetní techniky...2 Historický vývoj počítačů:...2 PRVOHORY...2 DRUHOHORY...2

Více

VY_32_INOVACE_06_III./2._Vodivost polovodičů

VY_32_INOVACE_06_III./2._Vodivost polovodičů VY_32_INOVACE_06_III./2._Vodivost polovodičů Vodivost polovodičů pojem polovodiče čistý polovodič, vlastní vodivost příměsová vodivost polovodičová dioda tranzistor Polovodiče Polovodiče jsou látky, jejichž

Více

Obj. č.: 480 00 98 a 19 09 51

Obj. č.: 480 00 98 a 19 09 51 KONSTRUKČNÍ NÁVOD + NÁVOD K OBSLUZE Obj. č.: 480 00 98 a 19 09 51 Tento montážní návod a návod k obsluze je součástí výrobku. Obsahuje důležité pokyny k uvedení do provozu a k obsluze. Jestliže výrobek

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247 Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView 2. Číslicové počítače a jejich využití pro

Více

Generátor funkcí DDS 3.0

Generátor funkcí DDS 3.0 Generátor funkcí DDS 3.0 Úvod Zakoupili jste sadu součástek pro výrobu profesionálního přístroje. Při dodržení následujícího návodu Vám bude přístroj fungovat na první zapojení a sloužit mnoho let. Popis

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Supertex MOSFET. Typy. MOSFET s vodivým kanálem. MOSFET s indukovaným kanálem N. Pro vypnutí je nutné záporné napětí V. napětí VGS zvýší vodivost

Supertex MOSFET. Typy. MOSFET s vodivým kanálem. MOSFET s indukovaným kanálem N. Pro vypnutí je nutné záporné napětí V. napětí VGS zvýší vodivost Supertex MOSFET Napěťové stabilizátory Budiče LED Vícekanálové budiče pro velké napětí Budiče elektroluminisenčních svítidel Ultrazvukové IO Speciální IO Supertex MOSFET Typy MOSFET s vodivým kanálem Normálně

Více

Stopař pro začátečníky

Stopař pro začátečníky Stopař pro začátečníky Miroslav Sámel Před nějakou dobou se na http://letsmakerobots.com/node/8396 objevilo zajímavé a jednoduché zapojení elektroniky sledovače čáry. Zejména začínající robotáři mají problémy

Více

Technologie číslicových obvodů

Technologie číslicových obvodů Technologie číslicových obvodů Technologie výroby IO pouzdření Vyšší montážní celky 30.1.2013 O. Novák, CIE 3 1 Diode logic DL: 30.1.2013 O. Novák, CIE 3 2 DL: nepoužívá se, nemožnost invertovat signál,

Více

Vítězslav Bártl. březen 2013

Vítězslav Bártl. březen 2013 VY_32_INOVACE_VB08_K Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, vzdělávací obor, tematický okruh, téma Anotace Vítězslav

Více

Vývojové práce v elektrických pohonech

Vývojové práce v elektrických pohonech Vývojové práce v elektrických pohonech Pavel Komárek ČVUT Praha, Fakulta elektrotechnická, K 31 Katedra elektrických pohonů a trakce Technická, 166 7 Praha 6-Dejvice Konference MATLAB 001 Abstrakt Při

Více

Základní deska (1) Označována také jako mainboard, motherboard. Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje:

Základní deska (1) Označována také jako mainboard, motherboard. Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 24 Vnitřní paměti

Více

Karta DIO portů PCD-8006 Uživatelská příručka

Karta DIO portů PCD-8006 Uživatelská příručka Karta DIO portů PCD-8006 Uživatelská příručka Údaje o mé kartě: typové označení:.................................. (např. PCD-8006) výrobní číslo:.................................. (např. 80010108) datum

Více

Tvorba pracoviště pro práci s SMD elektronickými součástkami aplikace s Atmel AVR

Tvorba pracoviště pro práci s SMD elektronickými součástkami aplikace s Atmel AVR Jihočeská univerzita v Českých Budějovicích Pedagogická fakulta Katedra informatiky Bakalářská práce Tvorba pracoviště pro práci s SMD elektronickými součástkami aplikace s Atmel AVR Vypracoval: Jan Matějíček

Více

Hrajeme si s EPROM. Hrajeme si s EPROM - 1. První a několik příštích dílů našeho nového seriálu, věnujeme podrobnému popisu konstrukce

Hrajeme si s EPROM. Hrajeme si s EPROM - 1. První a několik příštích dílů našeho nového seriálu, věnujeme podrobnému popisu konstrukce Hrajeme si s EPROM Hrajeme si s EPROM - 1 První a několik příštích dílů našeho nového seriálu věnujeme podrobnému popisu konstrukce programátoru. Kromě nezbytného schématu zapojení, bude vše doplněno i

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více