Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec.

Rozměr: px
Začít zobrazení ze stránky:

Download "Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas."

Transkript

1 Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec Obsah 1. Úvod Popis modulů LCD PWM ADC DAC FREQ_CNT FREQ_GEN NOR_FLASH SPI_FLASH Použité/Potřebné vybavení a nástroje Obsah a popis přiloženého balíku Reference... 8 Revize Revize Datum Autor Popis změn v dokumentu L.K. Vytvoření dokumentu 1 2.

2 1. Úvod Tato zpráva popisuje sadu modulů pro ovládání periferií na vývojové desce Spartan3E Starter Kit (S3ESK) [1]. Moduly umožňují ovládání těchto periferií na vyšší úrovni i bez znalostí konkrétního hardware, čímž usnadňují použití těchto periferií v aplikacích na FPGA a urychlují vývoj těchto aplikací. Vývoj modulů byl inspirován příklady [2] pro vývojovou desku S3ESK. 2. Popis modulů Sada zahrnuje celkem osm modulů. Označení a popis modulů je v tabulce Tabulka 1. Všechny moduly jsou založeny na konfigurovatelném wrapperu 8-bitového procesoru Xilinx PicoBlaze [3]. Popis tohoto wrapperu, označovaného jako Universal/UTIA PicoBlaze Wrapper (UPB), je v [4]. Označení LCD PWM ADC DAC FREQ_CNT FREQ_GEN NOR_FLASH SPI_FLASH Popis Tabulka 1 - seznam modulů Modul pro řízení znakového LCD displeje s řadičem HD44780 Modul osmi-kanálové PWM Řadič dvoukanálového A/D převodníku LTC1407A-1 a programovatelného zesilovače LTC Řadič čtyřkanálového D/A převodníku LTC2624 Modul čítače frekvence 10Hz - 200MHz Modul generátoru frekvence 20kHz - 25MHz Modul řadiče paměti 128Mbit Intel StrataFlash parallel NOR Flash PROM Modul řadiče paměti M25P16 SPI Serial Flash 2.1 LCD Modul LCD slouží k ovládání znakového LCD displeje s řadičem HD Uživatelské rozhranní představuje paměť RAM. Data zapsaná do této paměti se zobrazí na LCD displeji. Blokové schéma znázorňuje Obrázek 8. Wrapper UPB je nakonfigurován tak, že obsahuje vstupní paměť RAM o velikosti 32x8 bitů a výstupní 8-bitový registr pro řízení displeje. ram_addr ram_din ram_wen ram_dout RAM 32x8b ram_addr ram_dout IO decoder reg_dout reg_wen LCD CORE UPB lcd_data lcd_e lcd_rs lcd_rw clk en PicoBlaze processor Program ROM Obrázek 1 Blokové schéma modulu LCD řadiče 2/8

3 2.2 PWM Modul PWM představuje řadič osmi-kanálové PWM. Uživatelský interface představuje 8 registrů, z nichž každý obsahuje hodnotu pro jeden kanál PWM, a jeden registr pro povolení zápisu do těchto 8 registrů. Blokové schéma je na obrázku Obrázek 2. Kromě wrapperu UPB byl v tomto případě použit čítač. Obrázek 2 Blokové schéma modulu PWM 3/8

4 2.3 ADC Modul ADC je řadič dvoukanálového A/D převodníku a programovatelného zesilovače s rozhraním SPI. Uživatelský interface představují čtyři řídící signály a jedna výstupní paměť FIFO, do které jsou zapisována data přečtená z A/D převodníku. Blokové schéma znázorňuje Obrázek 3. Wrapper UPB je nakonfigurován tak, že obsahuje několik registrů pro uživatelský interface a pro komunikaci s převodníkem a jednu výstupní FIFO pro data. Obrázek 3 Blokové schéma modulu A/D řadiče 2.4 DAC Modul DAC představuje univerzální řadiče D/A převodníku s rozhraním SPI. Uživatelský interface představují tři řídící signály a vstupní paměť FIFO, do které se zapisují data pro D/A převodník. Blokové schéma je na obrázku Obrázek 4. DA CORE spi_sdo spi_ready set_one set_cont channel_en processor PicoBlaze IO decoder UPB spi_clk spi_sdi spi_dac_cs spi_dac_clr spi_request da_busy fifo_din fifo_wr clk en FIFO 16x8b fifo_empty fifo_full Obrázek 4 Blokové schéma modulu D/A převodníku 4/8

5 2.5 FREQ_CNT Modul FREQ_CNT představuje HW modul univerzálního čítače frekvence. Modul umožňuje přesné měření frekvencí v rozsahu 10Hz až 200MHz s možností průměrování. Uživatelský interface představuje vstupní signál měřeného kmitočtu freq_for_meas, vstupní registr pro počet průměrkovaných vzorků a výstupní registr obsahující naměřenou frekvenci. Blokové schéma modulu je na obrázku Obrázek 5. Kromě wrapperu UPB obsahuje modul ještě čítač pro přesné generování časové základny a čítač pro měření periody vstupního signálu. Obrázek 5 Blokové schéma modulu čítače frekvence 2.6 FREQ_GEN Modul FREQ_GEN představuje univerzální generátor frekvence. Modul generátoru umožňuje dělení vstupní uživatelské frekvence danou hodnotou. Výstupní frekvence je dána vztahem clk _ in clk _ out =, kde user_d je v rozsahu od 2 do 255. user _ D Blokové schéma modulu znázorňuje Obrázek 8. FG CORE clk_in user_d control D C M clk_out clk_50mhz en UPB clk_out_ready Obrázek 6 Blokové schéma modulu generátoru frekvence 5/8

6 2.7 NOR_FLASH Modul NOR_FLASH představuje řadič paměti 128Mbit Intel StrataFlash parallel NOR Flash PROM. K ovládání modulu a jako datový vstup slouží vstupní paměť FIFO o volitelné délce. Jako datový výstup slouží výstupní FIFO, jejíž délka je také volitelná. Blokové schéma modulu znázorňuje Obrázek 8. NOR FLASH CORE clk UPB strataflash_oe strataflash_ce en strataflash_we strataflash_byte NOR Flash strataflash_a [23:0] strataflash_sts strataflash_d [7:0] fifo_in_din fifo_in_wr fifo_out_rd FIFO in FIFO out fifo_in_empty fifo_in_full fifo_out_dout fifo_out_empty fifo_out_full Obrázek 7 Blokové schéma modulu řadiče paměti NOR Flash 2.8 SPI_FLASH Modul SPI_FLASH představuje univerzální řadič paměti SPI Serial Flash. Uživatelský interface je shodný s modulem NOR_FLASH. K ovládání modulu a jako datový vstup slouží vstupní paměť FIFO o volitelné délce. Jako datový výstup slouží výstupní FIFO. Blokové schéma modulu znázorňuje Obrázek 8. Obrázek 8 Blokové schéma modulu řadiče paměti SPI Flash 6/8

7 3. Použité/Potřebné vybavení a nástroje Moduly byly napsán v programovacím jazyce VHDL. Pro implementaci bylo použito vývojové prostředí Xilinx ISE (WebPack) verze i. Program procesoru PicoBlaze byl napsán v assembleru a k překladu byl použit assembler KCPSM3.exe, který je součástí balíčku procesoru PicoBlaze [3]. Testovací příklad byl vytvořen na vývojovém kitu Xilinx Spartan3E Starter kit (S3ESK) [1]. 4. Obsah a popis přiloženého balíku Moduly jsou na CD umístěny v samostatných adresářích, jméno adresáře odpovídá označení modulu. V těchto adresářích jsou NGC netlisty, příklad pro vývojovou desku S3ESK a uživatelská dokumentace k modulu. CDROM/ appnote_s3esk_peripherals.pdf Tento dokument LCD PWM ADC DAC FREQ_CNT FREQ_GEN NOR_FLASH SPI_FLASH Modul pro řízení znakového LCD displeje s řadičem HD44780 Modul osmi-kanálové PWM Řadič dvoukanálového A/D převodníku LTC1407A-1 a programovatelného zesilovače LTC Řadič čtyřkanálového D/A převodníku LTC2624 Modul čítače frekvence 10Hz - 200MHz Modul generátoru frekvence 20kHz - 25MHz Modul řadiče paměti 128Mbit (16MByte) Intel StrataFlash parallel NOR Flash PROM Modul řadiče paměti M25P16 SPI Serial Flash 7/8

8 5. Reference [1] Xilinx Spartan3E Starter Kit, [2] Spartan-3E FPGA Starter Kit Board Design Examples, [3] Procesor PicoBlaze, Xilinx [4] J. Stejskal, J. Svozil, L. Kafka, J. Kadlec, UPB UTIA PicoBlaze Wrapper. Praha : ÚTIA AV ČR, /8

LCD Core - Funkční vzorek řadiče LCD displeje. Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz

LCD Core - Funkční vzorek řadiče LCD displeje. Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Application Note LCD Core - Funkční vzorek řadiče LCD displeje Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Obsah 1. Úvod... 2 2. Popis systému... 2 3. Použité/Potřebné vybavení a nástroje...

Více

DA Core - Funkční vzorek řadiče D/A převodníku se sběrnicí SPI. Jiří Kadlec, Leoš Kafka, Jiří Svozil

DA Core - Funkční vzorek řadiče D/A převodníku se sběrnicí SPI. Jiří Kadlec, Leoš Kafka, Jiří Svozil Application Note DA Core - Funkční vzorek řadiče D/A převodníku se sběrnicí SPI Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Obsah 1. Úvod... 2 2. Popis systému... 2 3. Parametry modulu DA_CORE...

Více

AD Core Funkční vzorek řadiče A/D převodníku se sběrnicí SPI. Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz

AD Core Funkční vzorek řadiče A/D převodníku se sběrnicí SPI. Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Application Note AD Core Funkční vzorek řadiče A/D převodníku se sběrnicí SPI Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Obsah 1. Úvod... 2 2. Popis systému... 2 3. Parametry funkčního vzorku

Více

SPI FLASH Core Funkční vzorek řadiče paměti SPI Serial Flash. Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz

SPI FLASH Core Funkční vzorek řadiče paměti SPI Serial Flash. Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Application Note SPI FLASH Core Funkční vzorek řadiče paměti SPI Serial Flash Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Obsah 1. Úvod... 2 2. Popis systému... 2 3. Parametry modulu... 6 4.

Více

FC Core - funkční vzorek čítače frekvence. Jiří Kadlec, Leoš Kafka, Jiří Svozil

FC Core - funkční vzorek čítače frekvence. Jiří Kadlec, Leoš Kafka, Jiří Svozil Application Note FC Core - funkční vzorek čítače frekvence Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Obsah 1. Úvod... 2 2. Popis systému... 2 3. Parametry modulu... 3 4. Použité/Potřebné

Více

PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec

PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec Technická zpráva PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz, kafkal@utia.cas.cz Obsah 1. Úvod... 2 2.

Více

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod... 2 2. Xilinx PicoBlaze... 2 2.1 Architektura procesoru...

Více

Alfanumerické displeje

Alfanumerické displeje Alfanumerické displeje Alfanumerické displeje jsou schopné zobrazovat pouze alfanumerické údaje (tj. písmena, číslice) a případně jednoduché grafické symboly definované v základním rastru znaků. Výhoda

Více

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

Technická zpráva. Zpracování akustických signálů pomocí FPGA. stejskal@utia.cas.cz. Revize Datum Autor Popis změn v dokumentu

Technická zpráva. Zpracování akustických signálů pomocí FPGA. stejskal@utia.cas.cz. Revize Datum Autor Popis změn v dokumentu Technická zpráva Zpracování akustických signálů pomocí FPGA Jaroslav Stejskal stejskal@utia.cas.cz Obsah 1. Úvod... 2 2. Obecný popis aplikace... 2 2.1 Příklad HW řešení systému Audio Processor... 3 2.2

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Generátor libovolných průběhů Agilent Technologies 33 220A

Generátor libovolných průběhů Agilent Technologies 33 220A kmitočtové pásmo DC až 20 MHz jeden kanál vzorkování AWG - 50MS/s vertikální rozlišení - 14 bit barevný displej délka slova AWG - 64 000 bodů rozhraní USB, LAN, GPIB software Intui Link Agilent Generátor

Více

PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec

PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec Technická zpráva PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz, stejskal@utia.cas.cz

Více

FG Core - funkční vzorek generátoru kmitočtu. Jiří Kadlec, Leoš Kafka, Jiří Svozil

FG Core - funkční vzorek generátoru kmitočtu. Jiří Kadlec, Leoš Kafka, Jiří Svozil Application Note FG Core - funkční vzorek generátoru kmitočtu Jiří Kadlec, Leoš Kafka, Jiří Svozil kadlec@utia.cas.cz Obsah 1. Úvod... 2 2. Popis systému... 2 3. Parametry modulu... 3 4. Použité/Potřebné

Více

FREESCALE KOMUNIKAČNÍ PROCESORY

FREESCALE KOMUNIKAČNÍ PROCESORY FREESCALE KOMUNIKAČNÍ PROCESORY 1 Trocha historie: Freescale Semiconductor, Inc. byla založena v roce 2004 v Austinu v Texasu jako samostatná společnost, jelikož po více jak 50 byla součástí Motoroly.

Více

TEMPO průmyslový panelový počítač

TEMPO průmyslový panelový počítač TEMPO průmyslový panelový počítač ELSACO, Jaselská 177, 280 00 Kolín, CZ http://www.elsaco.cz mail: elsaco@elsaco.cz stručné představení struktura toku informací v technologických sítích prezentace dat

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

Direct Digital Synthesis (DDS)

Direct Digital Synthesis (DDS) ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Direct Digital Synthesis (DDS) Přímá číslicová syntéza Tyto materiály vznikly za podpory

Více

Vestavné systémy BI-VES Přednáška 10

Vestavné systémy BI-VES Přednáška 10 Vestavné systémy BI-VES Přednáška 10 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

MSP 430F1611. Jiří Kašpar. Charakteristika

MSP 430F1611. Jiří Kašpar. Charakteristika MSP 430F1611 Charakteristika Mikroprocesor MSP430F1611 je 16 bitový, RISC struktura s von-neumannovou architekturou. Na mikroprocesor má neuvěřitelně velkou RAM paměť 10KB, 48KB + 256B FLASH paměť. Takže

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Microchip. PICmicro Microcontrollers

Microchip. PICmicro Microcontrollers Microchip PICmicro Microcontrollers 8-bit 16-bit dspic Digital Signal Controllers Analog & Interface Products Serial EEPROMS Battery Management Radio Frequency Device KEELOQ Authentication Products Návrh

Více

TECHNICKÁ UNIVERZITA V LIBERCI Studentská 1402/2 461 17 Liberec 1

TECHNICKÁ UNIVERZITA V LIBERCI Studentská 1402/2 461 17 Liberec 1 Technická univerzita v Liberci Studentská 1402/2, 461 17 Liberec IČ: 467 47 885 vyřizuje oddělení veřejných zakázek 1 VÝZVA K PODÁNÍ NABÍDEK A PROKÁZÁNÍ SPLNĚNÍ KVALIFIKACE A ZADÁVACÍ DOKUMENTACE Zadavatel

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

HW počítače co se nalézá uvnitř počítačové skříně

HW počítače co se nalézá uvnitř počítačové skříně ZVT HW počítače co se nalézá uvnitř počítačové skříně HW vybavení PC Hardware Vnitřní (uvnitř počítačové skříně) Vnější ( ) Základní HW základní jednotka + zobrazovací zařízení + klávesnice + (myš) Vnější

Více

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Technická zpráva - FI - VG20102015006-2011 03 Ing. Filip Orság, Ph.D. Fakulta informačních technologií, Vysoké učení technické v Brně

Více

Kryptoanalýza šifry PRESENT pomocí rekonfigurovatelného hardware COPACOBANA

Kryptoanalýza šifry PRESENT pomocí rekonfigurovatelného hardware COPACOBANA Kryptoanalýza šifry PRESENT pomocí rekonfigurovatelného hardware COPACOBANA Jan Pospíšil, pospij17@fit.cvut.cz, Martin Novotný, novotnym@fit.cvut.cz Katedra číslicového návrhu Fakulta informačních technologíı

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

PK Design. EVMS-mega128. Uživatelský manuál. v1.0. Výuková deska s mikrokontrolerem ATmega128. Obr. 1 - výuková deska s LCD displejem

PK Design. EVMS-mega128. Uživatelský manuál. v1.0. Výuková deska s mikrokontrolerem ATmega128. Obr. 1 - výuková deska s LCD displejem EVMS-mega128 v1.0 Výuková deska s mikrokontrolerem ATmega128 Uživatelský manuál Obr. 1 - výuková deska s LCD displejem Obr. 2 - výuková deska bez LCD displeje Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 2.2

Více

Hardware ZÁKLADNÍ JEDNOTKA

Hardware ZÁKLADNÍ JEDNOTKA Hardware ZÁKLADNÍ JEDNOTKA RNDr. Jan Preclík, Ph.D. Ing. Dalibor Vích Jiráskovo gymnázium Náchod Skříň počítače case druhy Desktop Midi tower Middle tower Big tower Hardware - základní jednotka 2 Základní

Více

DIGITÁLNÍ OSCILOSKOP SE SBĚRNICÍ USB

DIGITÁLNÍ OSCILOSKOP SE SBĚRNICÍ USB VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV AUTOMATIZACE A MĚŘICÍ TECHNIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU HOST PC - TARGET PC PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ Stanislav Flígl Katedra elektrických pohonů a trakce (K13114),

Více

Maturitní témata - PRT 4M

Maturitní témata - PRT 4M Maturitní témata - PRT 4M ústní zkouška profilové části Maturita - školní rok 2015/2016 1. Architektura mikrořadičů a PC 2. Popis mikrořadičů řady 51 3. Zobrazovací jednotky 4. Řadiče Atmel 5. Hradlová

Více

VÝZVA K PODÁNÍ NABÍDKY

VÝZVA K PODÁNÍ NABÍDKY VÝZVA K PODÁNÍ NABÍDKY Dodání technického vybavení v rámci projektu Zajištění kvality poskytování sociálně-právní ochrany dětí v Hodoníně (reg.č. CZ.1.04/3.1.03/C2.00090) 1. Zadavatel : Město Hodonín,

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

David Matoušek PRÁCE S MIKROKONTROLÉRY ATMEL AVR ATmega16 4. díl Praha 2006 Komerèí využití stavebích ávodù je povoleo je s písemým souhlasem autora a akladatelství. Soubory a CD ROM mající pøímo vztah

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

VELKOKAPACITNÍ PAMĚŤOVÉ ZAŘÍZENÍ PRO OBVODY FPGA

VELKOKAPACITNÍ PAMĚŤOVÉ ZAŘÍZENÍ PRO OBVODY FPGA VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV MIKROELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Datasheet Fujitsu ESPRIMO P400 Stolní počítač

Datasheet Fujitsu ESPRIMO P400 Stolní počítač Datasheet Fujitsu ESPRIMO P400 Stolní počítač Okamžitě dostupný kancelářský počítač Všestranné počítače ESPRIMO od společnosti Fujitsu přinášejí vysoký výpočetní výkon pro vaše kancelářské aplikace a projekty

Více

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10.

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10. MB-XC3SxxxE-TQ144 v1.1 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (10.10.2008) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Mini PC HAL3000 NUC Passive. 15 890 Kč s DPH

Mini PC HAL3000 NUC Passive. 15 890 Kč s DPH HAL3000 NUC Passive i3 W10 Rozměrově malý, ale výkonově zajímavý počítač HAL3000 NUC využívá sílu nového procesoru Intel Core i3 (Broadwell), který je integrovaný na ultrakompaktní základní desce. Nezáleží

Více

ŠESTNÁCTIKANÁLOVÝ A/D PŘEVODNÍK ±30 mv až ±12 V DC, 16 bitů

ŠESTNÁCTIKANÁLOVÝ A/D PŘEVODNÍK ±30 mv až ±12 V DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 16 analogových vstupů Měření stejnosměrných napěťových signálů Základní rozsahy ±120mV nebo ±12V Další rozsahy ±30mV nebo ±3V Rozlišení 16 bitů Přesnost 0,05% z rozsahu

Více

) informace o stavu řízené veličiny (předávaná řídícímu systému) - nahrazování člověka při řízení Příklad řízení CNC obráběcího stroje

) informace o stavu řízené veličiny (předávaná řídícímu systému) - nahrazování člověka při řízení Příklad řízení CNC obráběcího stroje zapis_rizeni_uvod - Strana 1 z 9 20. Úvod do řízení Řízení Zpětná vazba (angl. #1 je proces, kdy #2 část působí na základě vstupních informací a zpětné vazby na #3 část zařízení tak, aby se dosáhlo požadovaného

Více

Příloha č. 1. Požadavek. 5 let. 3 roky (3 roky) max. 79 000,- Kč max. 94 800,- Kč

Příloha č. 1. Požadavek. 5 let. 3 roky (3 roky) max. 79 000,- Kč max. 94 800,- Kč Příloha č. 1 softwarem pro rok 2011 na SPŠD Plzeň a na odloučeném pracovišti SPŠD v Plzni Křimicích. Vymezení předmětu zakázky Projekt Nauč se a vytvoř!, reg. číslo: CZ.1.07/1.1.12 / 03.0011 Projekt Podpora

Více

UDAQ-1216A UDAQ-1416A. multifunkèní modul pro rozhraní USB

UDAQ-1216A UDAQ-1416A. multifunkèní modul pro rozhraní USB UDAQ-1216A UDAQ-1416A multifunkèní modul pro rozhraní USB Záruèní a pozáruèní servis, technická podpora: adresa: TEDIA spol. s r. o., Zábìlská 12, 31211 Plzeò telefon: +420 377 478 168 fax: +420 377 478

Více

Shrnutí Obecné Operační systém Microsoft Windows 7 Ultimate Centrální procesor

Shrnutí Obecné Operační systém Microsoft Windows 7 Ultimate Centrální procesor Menu Operační systém Procesor a základní deska Paměťové zařízení Ovladače Zobrazení Síť Další zařízení Shrnutí Obecné Operační systém Microsoft Windows 7 Ultimate Centrální procesor Intel(R) Core(TM)2

Více

VINCULUM VNC1L-A. Semestrální práce z 31SCS Josef Kubiš

VINCULUM VNC1L-A. Semestrální práce z 31SCS Josef Kubiš VINCULUM VNC1L-A Semestrální práce z 31SCS Josef Kubiš Osnova Úvod Základní specifikace obvodu Blokové schéma Firmware Aplikace Příklady příkazů firmwaru Moduly s VNC1L-A Co to je? Vinculum je nová rodina

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Hardware 1. Které zařízení není umístěno na základní desce? A) Zpracovává obraz pro zobrazení na monitoru. C)

Hardware 1. Které zařízení není umístěno na základní desce? A) Zpracovává obraz pro zobrazení na monitoru. C) Hardware 1 okamžité ukončení práce činnosti celého je vždy součástí základní v PC Slouží k realizaci výpočtů. Zpracovává obraz pro zobrazení na monitoru. Slouží k ukládání údajů během práce Slouží k ukládání

Více

Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Obr. 1: Brick s moduly [3]

Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Obr. 1: Brick s moduly [3] 2. Popis robota NXT Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Klíčové pojmy: Stavebnice, moduly, CPU, firmware, komunikace, brick. Nejdůležitější součástkou stavebnice je kostka

Více

ZADÁVACÍ DOKUMENTACE PRO VEŘEJNOU ZAKÁZKU

ZADÁVACÍ DOKUMENTACE PRO VEŘEJNOU ZAKÁZKU Město Černošice, okres Praha západ Městský úřad Černošice - Riegrova 1209, 252 28 Černošice odbor informatiky e-mail: informatika@mestocernosice.cz ZADÁVACÍ DOKUMENTACE PRO VEŘEJNOU ZAKÁZKU V souladu s

Více

Název školy: Základní škola a Mateřská škola Žalany

Název školy: Základní škola a Mateřská škola Žalany Název školy: Základní škola a Mateřská škola Žalany Číslo projektu: CZ. 1.07/1.4.00/21.3210 Téma sady: Informatika pro devátý ročník Název DUM: VY_32_INOVACE_5A_19_Paměti_počítače Vyučovací předmět: Informatika

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

Návrh parkovacího terminálu. Mgr. Leona Kapustová

Návrh parkovacího terminálu. Mgr. Leona Kapustová Návrh parkovacího terminálu Mgr. Leona Kapustová Diplomová práce 2013 ABSTRAKT Tato práce popisuje různé způsoby parkování dle požadavků na přítomnost osoby v podobě obsluhy. Další část popisuje stavy

Více

TECHNICKÁ SPECIFIKACE

TECHNICKÁ SPECIFIKACE Příloha č. 1 zadávací dokumentace veřejné zakázky č. VZPL/04/2015 ICT VYBAVENÍ ZÁLOŽNÍHO PRACOVIŠTĚ ZOS ZZS LK TECHNICKÁ SPECIFIKACE 1 6 Obsah 1 Úvod... 3 1.1 Parametry serveru... 4 1.2 Množství a minimální

Více

Procesor. Procesor FPU ALU. Řadič mikrokód

Procesor. Procesor FPU ALU. Řadič mikrokód Procesor Procesor Integrovaný obvod zajišťující funkce CPU Tvoří srdce a mozek celého počítače a do značné míry ovlivňuje výkon celého počítače (čím rychlejší procesor, tím rychlejší počítač) Provádí jednotlivé

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod Operační paměť

Více

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

Průmyslové pece Tepelné procesy Sušárny a klimatizační komory Zkušebny Technologické linky Stroje

Průmyslové pece Tepelné procesy Sušárny a klimatizační komory Zkušebny Technologické linky Stroje PMA a Company of WEST Control Solutions KS 108 easy Kompaktní řídicí a regulační přístroj pro průmyslové aplikace Kombinované funkce regulace, sekvenčního řízení a ovládání Rozsáhlá knihovna funkcí a ovládacích

Více

APLIKACE ŘÍDICÍCH SYSTÉMŮ S DSC

APLIKACE ŘÍDICÍCH SYSTÉMŮ S DSC APLIKACE ŘÍDICÍCH SYSTÉMŮ S DSC Ing. Petr Hudeček, Ing. Jan Michalík, Ing. Jan Pumr, Ing. Martin Sobek, Ing. Jan Vaněk VŠB-Technická univerzita Ostrava, Fakulta elektrotechniky a informatiky Katedra elektroniky

Více

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007 Měřič krevního tlaku Ing. Martin Švrček martin.svrcek@phd.feec.vutbr.cz Ústav biomedicínckého inženýrství Fakulta elektrotechniky a komunikačních technologií VUT v Brně Kolejní 4, 61200 Brno Tento článek

Více

Grafické adaptéry a monitory

Grafické adaptéry a monitory Grafické adaptéry a monitory 1 Základní pojmy Rozlišení: počet zobrazovaných bodů na celou obrazovku Příklad: monitor VGA s rozlišením 640 x 480 bodů (pixelů) na každém řádku je 640 bodů, řádků je 480

Více

Měření kmitočtu a tvaru signálů pomocí osciloskopu

Měření kmitočtu a tvaru signálů pomocí osciloskopu Měření kmitočtu a tvaru signálů pomocí osciloskopu Osciloskop nebo také řidčeji oscilograf zobrazuje na stínítku obrazovky nebo LC displeji průběhy připojených elektrických signálů. Speciální konfigurace

Více

5 790,- únor 2016. ceník. HCOMP AMD 4020 Trinity. Záruka 2 roky. Příplatky a software: Cena s DPH. Počítač: 4GB DDR3 RAM AMD HD7480 500 GB HDD

5 790,- únor 2016. ceník. HCOMP AMD 4020 Trinity. Záruka 2 roky. Příplatky a software: Cena s DPH. Počítač: 4GB DDR3 RAM AMD HD7480 500 GB HDD HCOMP AMD 4020 Trinity 4GB DDR3 RAM 500 GB HDD AMD HD7480 Procesor: AMD A4-X2 4020 Trinity socket FM2 - výkonný dvoujádrový procesor 2x3,2GHz, - vhodný pro hry a multimedia Základní deska: GIGABYTE F2A68HM-DS2

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247 Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView 2. Číslicové počítače a jejich využití pro

Více

Principy operačních systémů. Lekce 3: Virtualizace paměti

Principy operačních systémů. Lekce 3: Virtualizace paměti Principy operačních systémů Lekce 3: Virtualizace paměti Virtuální paměť Adresní prostor paměti je uspořádán logicky jinak, nebo je dokonce větší než je fyzická operační paměť RAM Rozšíření vnitřní paměti

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 9 SYSTÉMOVÝ NÁVRH, IP-CORES doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze

Více

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření A/D a D/A převodnp evodníky Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 A/D a D/A převodníky 1 Důvody převodu signálů

Více

DESKA ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ ±24mA DC, 16 bitů

DESKA ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ ±24mA DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 8 analogových vstupů Připojení 4 analogových výstupů Měření a simulace stejnosměrných proudových signálů Vstupní rozsah ±20mA, ±5mA Výstupní rozsah 0 24mA Rozlišení vstupů

Více

ARCHITEKTURA AMD PUMA

ARCHITEKTURA AMD PUMA VŠB-TU Ostrava Fakulta elektrotechniky a informatiky Katedra informačných technológií ARCHITEKTURA AMD PUMA Martin Raichl, RAI033 21. listopadu 2009 Ján Podracký, POD123 Obsah Architektura AMD PUMA nová

Více

DESKA ANALOGOVÝCH VSTUPŮ ±24mA DC, 16 bitů

DESKA ANALOGOVÝCH VSTUPŮ ±24mA DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení analogových vstupů Doba převodu A/D ms Vstupní rozsah ±ma, ±ma DC Rozlišení vstupů bitů Přesnost vstupů 0,0% z rozsahu Galvanické oddělení vstupů od systému a od sebe

Více

Hardware pro IoT. Neúplný a subjektivní přehled malých počítačů. vhodných na hraní, kterému se dnes honosně říká. Internet Věcí (Internet of Things)

Hardware pro IoT. Neúplný a subjektivní přehled malých počítačů. vhodných na hraní, kterému se dnes honosně říká. Internet Věcí (Internet of Things) Neúplný a subjektivní přehled malých počítačů vhodných na hraní, kterému se dnes honosně říká Internet Věcí (Internet of Things) 1 Internet of Things buzzword jak vyšitý velká věc, která nebude vidět do

Více

Dvoukanálový monitor absolutního chvění MMS 6120

Dvoukanálový monitor absolutního chvění MMS 6120 Dvoukanálový monitor absolutního chvění MMS 6120 Součást systému MMS 6000 Vyměnitelný za provozu, redundantní napájení Určen pro provoz s elektrodynamickými snímači absolutního chvění epro PR 9266, PR

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ BAKALÁŘSKÁ PRÁCE MOBILNÍ PAMĚŤOVÝ OSCILOSKOP REALIZOVANÝ POMOCÍ FPGA SPARTAN 3 Studijní obor: Vedoucí práce: Kybernetika a měření Ing. Jiří

Více

Základní metody číslicového zpracování signálu část I.

Základní metody číslicového zpracování signálu část I. A4M38AVS Aplikace vestavěných systémů Základní metody číslicového zpracování signálu část I. Radek Sedláček, katedra měření, ČVUT v Praze FEL, 2015 Obsah přednášky Úvod, motivace do problematiky číslicového

Více

Hardware. Z čeho se skládá počítač

Hardware. Z čeho se skládá počítač Hardware Z čeho se skládá počítač Základní jednotka (někdy také stanice) obsahuje: výstupní zobrazovací zařízení CRT nebo LCD monitor počítačová myš vlastní počítač obsahující všechny základní i přídavné

Více

brašna v balení záruka: 3 roky NBD on-site

brašna v balení záruka: 3 roky NBD on-site Pořadové číslo položky: 1586, 1619, 1582, 1579 HP ProBook 4330s/13,3/i5-2450/4G/500/DVD/B/7P HP ProBook Frekvence procesoru 2.45 GHz Úhlopříčka LCD 13,3 500 GB Otáčky pevného disku 7200rpm Bezdrátová síťová

Více

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 6 analogových vstupů Připojení 2 analogových výstupů Měření a simulace stejnosměrných proudových signálů Vstupní rozsahy 0 ma, 0 ma Výstupní rozsah 0 24mA Rozlišení vstupů

Více

Grafická karta SVGA (2) Grafická karta SVGA (1) Grafická karta SVGA (4) Grafický akcelerátor: Grafická karta SVGA (3) Grafická karta SVGA (5)

Grafická karta SVGA (2) Grafická karta SVGA (1) Grafická karta SVGA (4) Grafický akcelerátor: Grafická karta SVGA (3) Grafická karta SVGA (5) Grafická karta SVGA (1) Grafická karta SVGA (Super Video Graphics Array) je dnes nejpoužívanější typ grafické karty Skládá se z následujících částí: procesor (GPU Graphics Processing Unit): řídí činnost

Více

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů )

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Führer Ondřej, FUH002 1. AVR procesory obecně

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

MIKROPROCESOROVÁ TECHNIKA 9 Událostní systém 9.1 Události Síť ERN Časování událostí Filtrace

MIKROPROCESOROVÁ TECHNIKA 9 Událostní systém 9.1 Události Síť ERN Časování událostí Filtrace Bohumil BRTNÍK, David MATOUŠEK MIKROPROCESOROVÁ TECHNIKA Praha 2011 Tato monografie byla vypracována a publikována s podporou Rozvojového projektu VŠPJ na rok 2011. Bohumil Brtník, David Matoušek Mikroprocesorová

Více

Architektury CISC a RISC, uplatnění v personálních počítačích

Architektury CISC a RISC, uplatnění v personálních počítačích Architektury CISC a RISC, uplatnění v personálních počítačích 1 Cíl přednášky Vysvětlit, jak pracují architektury CISC a RISC, upozornit na rozdíly. Zdůraznit, jak se typické rysy obou typů architektur

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a prodej

Více

Úvod do programování a práce s počítačem

Úvod do programování a práce s počítačem Úvod do programování a práce s počítačem Základní pojmy hardware železo technické vybavení počítače souhrnný název pro veškerá fyzická zařízení, kterými je počítač vybaven software programové vybavení

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická BAKALÁŘSKÁ PRÁCE 2015 Pavel Gregar ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Katedra telekomunikační techniky Domácí meteostanice

Více

ZADÁVACÍ DOKUMENTACE

ZADÁVACÍ DOKUMENTACE ZADÁVACÍ DOKUMENTACE Jedná se o veřejnou zakázku malého rozsahu, zadávanou v souladu s ust. 18 odst. 5 zákona č. 137/2006 Sb., o veřejných zakázkách, ve znění pozdějších předpisů (dále jen zákon), postupem

Více

BIOS (BASIC INPUT-OUTPUT SYSTEM)

BIOS (BASIC INPUT-OUTPUT SYSTEM) Implemantace základních vstupně-výstupních funkcí, tzn firmware Využívá se pro inicializaci a konfiguraci připojených hardwarových zařízení a pro spuštění zavaděče operačního systému, Dříve používán i

Více

Virtualizace. Lukáš Krahulec, KRA556

Virtualizace. Lukáš Krahulec, KRA556 Virtualizace Lukáš Krahulec, KRA556 Co je vitualizace Způsob jak přistupovat ke zdrojům systému jako k univerzálnímu výkonu a nezajímat se o železo Způsob jak využít silný HW a rozložit ho mezi uživatele,

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Procesory, mikroprocesory, procesory na FPGA. 30.1.2013 O. Novák, CIE 11 1

Procesory, mikroprocesory, procesory na FPGA. 30.1.2013 O. Novák, CIE 11 1 Procesory, mikroprocesory, procesory na FPGA 30.1.2013 O. Novák, CIE 11 1 Od sekvenčních automatů k mikroprocesorům 30.1.2013 O. Novák, CIE 11 2 30.1.2013 O. Novák, CIE 11 3 Architektura počítačů Von Neumannovská,

Více

Elektronický psací stroj

Elektronický psací stroj Elektronický psací stroj Konstrukční změny u elektrického psacího stroje s kulovou hlavicí 1. typový koš je nahrazen kulovou hlavicí. pevný vozík s válcem 3. pohyblivá tisková jednotka 4. nylonová barvící

Více