Snížení příkonu MCU. Vybavení pro MCU. Snížení příkonu MCU. Možnosti snížení příkonu

Rozměr: px
Začít zobrazení ze stránky:

Download "Snížení příkonu MCU. Vybavení pro MCU. Snížení příkonu MCU. Možnosti snížení příkonu"

Transkript

1 Vybavení pro snížen ení příkonu MCU K.D. - přednášky 1 Možnosti snížení příkonu Snížení frekvence hodin procesoru a periferií. Programové odpojování periferií. Režim Idle. Režim Power Down. Snížení napájecího napětí. Metoda DVS K.D. - přednášky 2

2 Možnosti snížení příkonu K.D. - přednášky 3 Obecné závislosti P = C e V 2 CC f + I L V CC P = ztrátový výkon, V cc = napájecí napětí, f = frekvence hodin, C e = ekvivalentní kapacita, I L = klidový proud Ce Ce K.D. - přednášky 4

3 Obecné závislosti P = C e V 2 CC f + I L V P = ztrátový výkon, V cc = napájecí napětí, f = frekvence hodin, C e = ekvivalentní kapacita, I L = klidový proud CC P run vs. Vcc P run vs. frequency 14,00 14,00 P [mw] 12,00 10,00 8,00 6,00 4,00 1 MHz 2 MHz 3 MHz 4 MHz 5 MHz 6 MHz 7 MHz 8 MHz P [mw] 12,00 10,00 8,00 6,00 4,00 1,8 V 2,0 V 2,2 V 2,4 V 2,6 V 2,8 V 3,0 V 3,2 V 3,4 V 3,6 V 2,00 2,00 0,00 1,8 V 2,2 V 2,6 V 3,0 V 3,4 V 0,00 1 MHz 2 MHz 3 MHz 4 MHz 5 MHz 6 MHz 7 MHz 8 MHz Vcc [V] f [MHz] K.D. - přednášky 5 Snížení frekvence hodin procesoru a periferií (1) Závislost odběru MCU na frekvenci hodin (Microchip PIC). Frekvence řádu 100 khz. Frekvence řádu MHz. K.D. - přednášky 6

4 Snížení frekvence hodin procesoru a periferií (2) Použití oscilátoru s nejnižší možnou frekvencí. Programové řízení frekvence oscilátoru. K.D. - přednášky 7 Programové odpojování periferií Odpojení napájení jednotlivých periferií (Renesas H8S, Infineon C167,... ). Jednotlivé periferie lze odpojit nastavením příslušného bitu v registru pro řízení napájení (MSTPCRx, SYSCON3). Další snížení odběru: odpojení hodinového signálu pro odpojené periferie. K.D. - přednášky 8

5 Režim Idle Zapíná se programově. (Např. 8051: do PCON se zapíše příslušná hodnota pro Idle.) Oscilátor kmitá. Odpojí se hodiny pro procesor. Periferie normálně pracují. RAM, SFR a porty zachovávají nastavené hodnoty. (8051: odběr řádově ma). Ukončení Idle: přerušení nebo reset. K.D. - přednášky 9 Režim Power Down Zapíná se programově, podobně jako Idle. Zastaví se oscilátor. Nepracuje CPU ani periferie. RAM, SFR a porty zachovávají nastavené hodnoty. (8051: odběr řádově µa, PIC: odběr řádově na). Ukončení Power Down: reset. PIC: lze volit Power Down se zachováním funkce interního oscilátoru WD, ukončení i přerušením. C167: lze volit Power Down se zachováním funkce RTC a oscilátoru. Musí se uvážit vliv výstupního proudu z portů. Na vstupních portech musí být definovaná log. úroveň (kmitání zvyšuje odběr). K.D. - přednášky 10

6 Režimy Idle a Power Down generátor hodin Generátor hodin 8051: K.D. - přednášky 11 Režimy Idle a Power Down generátor hodin Generátor hodin MSP430: ACLK = Auxiliary Clock (pro periferie) MCLK = Master Clock (pro CPU) SMCLK = Submodule Clock (pro periferie) K.D. - přednášky 12

7 Režimy Idle a Power Down generátor hodin Nevýhoda zastavení oscilátoru v Power Down: pomalý náběh při ukončení PD: Náběh oscilátoru u Microchip PIC. K.D. - přednášky 13 Snížení napájecího napětí Závislost odběru (I cc ) na napájecím napětí (V cc ) (Atmel ATtiny). Hodnotou V cc je dána maximální hodinová frekvence MCU. Atmel ATtiny: závislost I cc na V cc v normálním režimu. K.D. - přednášky 14

8 Snížení napájecího napětí v režimu Power Down Odběr v režimu Power Down lze zmenšit snížením napájecího napětí. Reset Trvání pulsu = náběh oscilátoru + reset Oscilátor Vcc Přechod do režimu Power Down Vcc min Reset - ukončení režimu Power Down PIC: závislost I cc na V cc v režimu Power Down. K.D. - přednášky 15 Řízení frekvence CLK Perioda spouštění úlohy Dynamické čekání: Snížením frekvence hodin procesoru na optimální hodnotu lze snížit příkon MCU. Dynamické čekání Aktivní perioda Maximální frekvence CLK Perioda spouštění úlohy Aktivní perioda Optimální frekvence CLK Task deadline Task deadline K.D. - přednášky 16

9 Řízení frekvence CLK Čekání v Idle nebo Power Down: Spotřeba energie pro danou úlohu závisí na počtu taktů CLK (ne na frekvenci). Sleep Idle n k period CLK Aktivní perioda Vysoká frekvence CLK Wake up Task deadline W = P T n k period CLK (Energie = Příkon Čas) Aktivní perioda Optimální frekvence CLK Task deadline K.D. - přednášky 17 Použití metody DVS DVS = Dynamic Voltage Scaling Metoda DVS: 1. Frekvence CLK se nastaví na optimální hodnotu. 2. Napájecí napětí se sníží na minimální přípustnou hodnotu. Vcc [V] n k period CLK Minimal MCS430 Supply Voltage 4,0 3,5 3,0 2,5 2,0 1,5 1,0 0,5 0,0 0,0 1,0 2,0 3,0 4,0 5,0 6,0 7,0 8,0 Frequency [MHz] Aktivní perioda Optimální frekvence CLK + snížené napájecí napětí Task deadline K.D. - přednášky 18

10 Použití metody DVS Metoda DVS: 1. Frekvence CLK se nastaví na optimální hodnotu. DVS předpokládá možnost řízení napájecího napětí vyžaduje speciální HW prostředky. 2. Napájecí napětí se sníží na minimální přípustnou hodnotu. Baterie Měnič DC/DC Vcc MCU Řízení Vcc K.D. - přednášky 19 Použití metody DVS - experiment Perioda spouštění úloh = 100 ms. Energy consumed in one task period 0,450 W [mws] 0,400 0,350 0,300 0,250 0,200 0,150 0,100 0, % processor load 100% processor load 100% processor load XT2 Task 1 XT2 Task 2 XT2 Task 3 XT2 Task 1 theoretical XT2 Task 2 theoretical XT2 Task 3 theoretical DCO Task 1 DCO Task 2 DCO Task 3 0,000 0,0 1,0 2,0 3,0 4,0 5,0 6,0 7,0 8,0 9,0 f [MHz] K.D. - přednášky 20

Konfigurace portů u mikrokontrolérů

Konfigurace portů u mikrokontrolérů Konfigurace portů u mikrokontrolérů Porty u MCU Většina vývodů MCU má podle konfigurace některou z více funkcí. K přepnutí funkce dochází většinou automaticky aktivováním příslušné jednotky. Základní konfigurace

Více

MSP 430F1611. Jiří Kašpar. Charakteristika

MSP 430F1611. Jiří Kašpar. Charakteristika MSP 430F1611 Charakteristika Mikroprocesor MSP430F1611 je 16 bitový, RISC struktura s von-neumannovou architekturou. Na mikroprocesor má neuvěřitelně velkou RAM paměť 10KB, 48KB + 256B FLASH paměť. Takže

Více

Základní uspořádání pamětí MCU

Základní uspořádání pamětí MCU Základní uspořádání pamětí MCU Harwardská architektura. Oddělený adresní prostor kódové a datové. Používané u malých MCU a signálových procesorů. Von Neumannova architektura (Princetonská). Kódová i jsou

Více

Microchip. PICmicro Microcontrollers

Microchip. PICmicro Microcontrollers Microchip PICmicro Microcontrollers 8-bit 16-bit dspic Digital Signal Controllers Analog & Interface Products Serial EEPROMS Battery Management Radio Frequency Device KEELOQ Authentication Products Návrh

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Paměti Flash. Paměti Flash. Základní charakteristiky

Paměti Flash. Paměti Flash. Základní charakteristiky Paměti Flash K.D. - přednášky 1 Základní charakteristiky (Flash EEPROM): Přepis dat bez mazání: ne. Mazání: po blocích nebo celý čip. Zápis: po slovech nebo po blocích. Typická životnost: 100 000 1 000

Více

Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer. A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1

Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer. A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1 Přednáška 10 2012, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1 Náplň přednášky Čítače v MCU forma, principy činnosti A4B38NVS, 2012, J.Fischer, kat.

Více

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,

Více

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

A0M38SPP - Signálové procesory v praxi - přednáška 10 2

A0M38SPP - Signálové procesory v praxi - přednáška 10 2 GPIO (konfigurace vstupu, výstupu, alt. funkce) GP timers Core timers Watchdog timer Rotary counter Real time clock Keypad interface SD HOST (MMC, SD interface) ATAPI (IDE) A0M38SPP - Signálové procesory

Více

24 bitový dvoukanálový AD převodník s obvodem HX711

24 bitový dvoukanálový AD převodník s obvodem HX711 24 bitový dvoukanálový AD převodník s obvodem HX711 Jako vždy, nejdřív si projdeme datasheet obvodu HX711, abychom se dozvěděli, jak obvod přesně pracuje. Jádrem převodníku je obvod HX711, který obsahuje

Více

Napájení mikroprocesorů. ČVUT- FEL, katedra měření, přednášející Jan Fischer. studenty zapsané v předmětu: A4B38NVS

Napájení mikroprocesorů. ČVUT- FEL, katedra měření, přednášející Jan Fischer. studenty zapsané v předmětu: A4B38NVS Napájení mikroprocesorů v. 2012 Materiál je určen jako pomocný materiál pouze pro studenty zapsané v předmětu: A4B38NVS ČVUT- FEL, katedra měření, přednášející Jan Fischer A4B38NVS, 2012, J.Fischer, kat.

Více

Rozsah měřené veličiny

Rozsah měřené veličiny Obor měřené veličiny: délka Kalibrace: Nominální teplota pro kalibraci: (20 ±1 ) C Rozsah měřené veličiny Identifikace kalibračního postupu 1. Posuvná měřidla 0 300 mm (30+ 30L) µm LIII-D001 (DAkkS-DKD-R

Více

ŠESTNÁCTIKANÁLOVÝ A/D PŘEVODNÍK ±30 mv až ±12 V DC, 16 bitů

ŠESTNÁCTIKANÁLOVÝ A/D PŘEVODNÍK ±30 mv až ±12 V DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 16 analogových vstupů Měření stejnosměrných napěťových signálů Základní rozsahy ±120mV nebo ±12V Další rozsahy ±30mV nebo ±3V Rozlišení 16 bitů Přesnost 0,05% z rozsahu

Více

DESKA ANALOGOVÝCH VSTUPŮ ±24mA DC, 16 bitů

DESKA ANALOGOVÝCH VSTUPŮ ±24mA DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení analogových vstupů Doba převodu A/D ms Vstupní rozsah ±ma, ±ma DC Rozlišení vstupů bitů Přesnost vstupů 0,0% z rozsahu Galvanické oddělení vstupů od systému a od sebe

Více

Akademický rok: 2004/05 Datum: Příjmení: Křestní jméno: Osobní číslo: Obor:

Akademický rok: 2004/05 Datum: Příjmení: Křestní jméno: Osobní číslo: Obor: Západočeská univerzita v Plzni Písemná zkouška z předmětu: Zkoušející: Katedra informatiky a výpočetní techniky Počítačová technika KIV/POT Dr. Ing. Karel Dudáček Akademický rok: 2004/05 Datum: Příjmení:

Více

Prvky a obvody elektronických přístrojů II

Prvky a obvody elektronických přístrojů II Prvky a obvody elektronických přístrojů Lubomír Slavík TECHNCKÁ NVEZTA V LBEC Fakulta mechatroniky, informatiky a mezioborových studií Materiál vznikl v rámci projektu ESF (CZ..07/..00/07.047) eflexe požadavků

Více

PŘÍLOHY. PRESTO USB programátor

PŘÍLOHY. PRESTO USB programátor PŘÍLOHY PRESTO USB programátor 1. Příručka PRESTO USB programátor Popis indikátorů a ovládacích prvků Zelená LED (ON-LINE) - PRESTO úspěšně komunikuje s PC Žlutá LED (ACTIVE) - právě se komunikuje s uživatelskou

Více

MĚŘICÍ MODUL NAPÁJENÝ PO OPTICKÉM VLÁKNĚ MEASUREMENT MODULE WITH POWER OVER FIBER

MĚŘICÍ MODUL NAPÁJENÝ PO OPTICKÉM VLÁKNĚ MEASUREMENT MODULE WITH POWER OVER FIBER VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV AUTOMATIZACE A MĚŘICÍ TECHNIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION

Více

EduKit84. Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip. Uživatelská příručka

EduKit84. Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip. Uživatelská příručka EduKit84 Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip Uživatelská příručka OBSAH 1. EduKit84 3 2. Popis zařízení 3 3. Provozní režimy 3 4. Mikrokontrolér PIC16F84A 4 5. Tabulka

Více

Jednočipové mikropočítače (mikrokontroléry)

Jednočipové mikropočítače (mikrokontroléry) Počítačové systémy Jednočipové mikropočítače (mikrokontroléry) Miroslav Flídr Počítačové systémy LS 2006-1/17- Západočeská univerzita v Plzni Co je mikrokontrolér integrovaný obvod, který je často součástí

Více

Převodník sériového rozhraní RS-485 na mnohavidové optické vlákno ELO E171 Uživatelský manuál

Převodník sériového rozhraní RS-485 na mnohavidové optické vlákno ELO E171 Uživatelský manuál Převodník sériového rozhraní RS-485 na mnohavidové optické vlákno ELO E171 Uživatelský manuál 1.0 Úvod...3 1.1 Použití převodníku...3 2.0 Principy činnosti...3 3.0 Instalace...3 3.1 Připojení rozhraní

Více

DESKA ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ ±24mA DC, 16 bitů

DESKA ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ ±24mA DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 8 analogových vstupů Připojení 4 analogových výstupů Měření a simulace stejnosměrných proudových signálů Vstupní rozsah ±20mA, ±5mA Výstupní rozsah 0 24mA Rozlišení vstupů

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace

IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. IRCDEK2 Hradlové pole s implementovaným kvadraturním dekodérem Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2007 Obsah 1. Kvadraturní dekodér...3

Více

Převodník Ethernet ARINC 429

Převodník Ethernet ARINC 429 Převodník Ethernet ARINC 429 Bakalářská práce Tomáš Levora ČVUT FEL levortom@fel.cvut.cz Tomáš Levora (ČVUT FEL) Převodník Ethernet ARINC 429 levortom@fel.cvut.cz 1 / 25 Zadání Převádět data ze sběrnice

Více

Komunikace modulu s procesorem SPI protokol

Komunikace modulu s procesorem SPI protokol Komunikace modulu s procesorem SPI protokol Propojení dvouřádkového LCD zobrazovače se sběrnicí SPI k procesotru (dále již jen MCU microcontroller unit) a rozložení pinů na HSES LCD modulu. Komunikace

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV

ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV DV300 ZÁKLADNÍ CHARAKTERISTIKA Procesor PowerQUICC II MPC8270 (jádro PowerPC 603E s integrovanými moduly FPU, CPM, PCI a paměťového řadiče) na frekvenci 266MHz 6kB datové cache,

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

1. ÚVOD 2. PŘÍKON MIKROKONTROLÉRU 2009/ f CLK... taktovací (hodinová) frekvence a

1. ÚVOD 2. PŘÍKON MIKROKONTROLÉRU 2009/ f CLK... taktovací (hodinová) frekvence a MTODA PRO SNÍŽNÍ PŘÍKONU MIKROKONTROLÉRU PRACUJÍCÍHO POD RTOS Dr. Ing. Karel Dudáček. Západočeská univerzita v Plzni Univerzitní 8, Plzeň mail: dudacek@kiv.zcu.cz V článku je popisována metoda pro snížení

Více

Úvod do mobilní robotiky AIL028

Úvod do mobilní robotiky AIL028 md at robotika.cz http://robotika.cz/guide/umor07/cs 11. října 2007 1 Definice Historie Charakteristiky 2 MCU (microcontroller unit) ATmega8 Programování Blikání LEDkou 3 Kdo s kým Seriový port (UART)

Více

Universální přenosný potenciostat (nanopot)

Universální přenosný potenciostat (nanopot) Universální přenosný potenciostat (nanopot) (funkční vzorek 2014) Autoři: Michal Pavlík, Jiří Háze, Lukáš Fujcik, Vilém Kledrowetz, Marek Bohrn, Marian Pristach, Vojtěch Dvořák Funkční vzorek universálního

Více

Řídicí obvody (budiče) MOSFET a IGBT. Rozdíly v buzení bipolárních a unipolárních součástek

Řídicí obvody (budiče) MOSFET a IGBT. Rozdíly v buzení bipolárních a unipolárních součástek Řídicí obvody (budiče) MOSFET a IGBT Rozdíly v buzení bipolárních a unipolárních součástek Řídicí obvody (budiče) MOSFET a IGBT Řídicí obvody (budiče) MOSFET a IGBT Hlavní požadavky na ideální budič Galvanické

Více

PRESTO. USB programátor. Uživatelská příručka

PRESTO. USB programátor. Uživatelská příručka PRESTO USB programátor Uživatelská příručka OBSAH 1. PRESTO 3 1.1. Použití 3 2. Obsah dodávky 3 3. Instalace 3 3.1. Instalace ovladačů 3 3.2. Instalace programu UP 4 4. Popis programovacího konektoru 5

Více

SEP2 Sensor processor. Technická dokumentace

SEP2 Sensor processor. Technická dokumentace SEP2 Sensor processor Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2010 Obsah 1. Úvod...3 2. Zapojení zařízení...4 2.1. Připojení napájecího napětí...4 2.2. Připojení

Více

11 Elektrické specifikace Mezní parametry* Okolní teplota pøi zapojeném napájení 40 C až +125 C Skladovací teplota 65 C až +150 C Napájecí napìtí na V

11 Elektrické specifikace Mezní parametry* Okolní teplota pøi zapojeném napájení 40 C až +125 C Skladovací teplota 65 C až +150 C Napájecí napìtí na V Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

4IOT-SEN-01 Sensor pro IoT aplikace Technická dokumentace

4IOT-SEN-01 Sensor pro IoT aplikace Technická dokumentace Sensor pro IoT aplikace Technická dokumentace WWW.4IOTECH.COM 2018 4IOTECH s.r.o. Stránka 1 z 12 Technický popis 4IOT-SEN-01 je zařízení vyvinuté společností 4IOTECH s.r.o. speciálně do průmyslového prostředí.

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

1. MIKROPROCESOR ATMEGA A/D PŘEVODNÍK MÓDY PŘEVODNÍKU Single Conversion Mode Auto Triggering Start...

1. MIKROPROCESOR ATMEGA A/D PŘEVODNÍK MÓDY PŘEVODNÍKU Single Conversion Mode Auto Triggering Start... 1. MIKROPROCESOR ATMEGA 8535... 2 1.1 A/D PŘEVODNÍK... 2 1.2 MÓDY PŘEVODNÍKU... 3 1.2.1 Single Conversion Mode... 3 1.2.2 Auto Triggering Start... 4 1.2.3 Free Running Mode... 4 1.3 VÝBĚR MĚŘENÉHO KANÁLU...

Více

NTIS-VP1/1: Laboratorní napájecí zdroj programovatelný

NTIS-VP1/1: Laboratorní napájecí zdroj programovatelný NTIS-VP1/1: Laboratorní napájecí zdroj programovatelný stejnosměrný zdroj s regulací výstupního napětí a proudu s programovatelnými funkcemi 3 nezávislé výstupní kanály výstupní rozsah napětí u všech kanálů:

Více

Záložní zdroje pro domácí a malé projekční kanceláře. Zaměření na nejmenší UPSky a možnosti zálohy a přepěťové ochrany včetně telefonní linky

Záložní zdroje pro domácí a malé projekční kanceláře. Zaměření na nejmenší UPSky a možnosti zálohy a přepěťové ochrany včetně telefonní linky Záložní zdroje pro domácí a malé projekční kanceláře Zaměření na nejmenší UPSky a možnosti zálohy a přepěťové ochrany včetně telefonní linky PROGRAM Záložní zdroje pro domácí a malé projekční kanceláře

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

8. Počítačová skříň,zdroj a UPS. Počítačová skříň

8. Počítačová skříň,zdroj a UPS. Počítačová skříň 8. Počítačová skříň,zdroj a UPS Počítačová skříň Definice: Počítačová skříň (anglicky computer case) je hardware pro počítače, která slouží k mechanickému upevnění všech ostatních vnitřních dílů a částí

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

NÍZKOFREKVENČNÍ GENERÁTOR BG3

NÍZKOFREKVENČNÍ GENERÁTOR BG3 NÍZKOFREKVENČNÍ GENERÁTOR BG3 Popis a provoz zařízení bg3 Jiří Matějka, Čtvrtky 702, Kvasice, 768 21, e-mail: podpora@wmmagazin.cz Obsah: 1. Určení výrobku 2. Technické parametry generátoru 3. Indikační

Více

Vysoká škola Báňská. Technická univerzita Ostrava

Vysoká škola Báňská. Technická univerzita Ostrava Vysoká škola Báňská Technická univerzita Ostrava Nasazení jednočipových počítačů pro sběr dat a řízení Rešerše diplomové práce Autor práce: Vedoucí práce: Bc. Jiří Czebe Ing. Jaromír ŠKUTA, Ph.D. 2015

Více

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů

A/D a D/A PŘEVODNÍK 0(4) až 24 ma DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 6 analogových vstupů Připojení 2 analogových výstupů Měření a simulace stejnosměrných proudových signálů Vstupní rozsahy 0 ma, 0 ma Výstupní rozsah 0 24mA Rozlišení vstupů

Více

Čítače e a časovače. v MCU. Čítače a časovače MCU. Obsah

Čítače e a časovače. v MCU. Čítače a časovače MCU. Obsah Čítače e a časovače v MCU K.D. - přednášky 1 Obsah Režim čítač Režim časovač Rozšíření funkce čítače/časovače Automatické plnění Funkce compare Funkce capture Funkce PWM Dekódování signálu inkrementálních

Více

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry 18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry Digitální voltmetry Základním obvodem digitálních voltmetrů je A/D

Více

EduKitBeta Uživatelská příručka

EduKitBeta Uživatelská příručka EduKitBeta Uživatelská příručka Výuková deska pro mikrokontroléry Microchip PIC v pouzdře DIL18 OBSAH EduKitBeta 3 Popis zařízení 3 Periférie mikrokontroléru 3 Tabulka zapojení portů na desce Udukit Beta

Více

Popis obvodu U2403B. Funkce integrovaného obvodu U2403B

Popis obvodu U2403B. Funkce integrovaného obvodu U2403B ASICentrum s.r.o. Novodvorská 994, 142 21 Praha 4 Tel. (02) 4404 3478, Fax: (02) 472 2164, E-mail: info@asicentrum.cz ========== ========= ======== ======= ====== ===== ==== === == = Popis obvodu U2403B

Více

Firmware řídící jednotky stejnosměrného generátoru

Firmware řídící jednotky stejnosměrného generátoru Firmware řídící jednotky stejnosměrného generátoru Zdeněk KOLKA Projekt FR-TI1/184 - Výzkum a vývoj systému řízení a regulace pozemního letištního zdroje Popis Řídicí jednotka GCU 400SG je elektronické

Více

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje ŘÍDÍCÍ ČLEN GCD 411 univerzální procesorový člen pro mikropočítačové systémy mikroprocesor PCF80C552 programová paměť 64kB FLASH PROM datová paměť 32kB SRAM nebo zálohovaná s RTC sériový kanál RS485 sběrnice

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno od tří rezistorů s hodnotou 5 kω.

Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno od tří rezistorů s hodnotou 5 kω. Časovač 555 NE555 je integrovaný obvod používaný nejčastěji jako časovač nebo generátor různých pravoúhlých signálů. Na trh byl uveden v roce 1971 firmou Signetics. Uvádí se, že označení 555 je odvozeno

Více

Převodníky AD a DA. AD a DA. Převodníky AD a DA. Základní charakteristika

Převodníky AD a DA. AD a DA. Převodníky AD a DA. Základní charakteristika Převodníky AD a DA K.D. - přednášky 1 Převodník AD v MCU Základní charakteristika Většinou převodník s postupnou aproximací. Pro více vstupů (4 16) analogový multiplexor na vstupu. Převod způsobem sample

Více

Synco living. Verze s ECA. Modul pro připojení měřičů spotřeby WRI982. Page 1/15 Michal Bassy - Březen 2011 Březen 2011

Synco living. Verze s ECA. Modul pro připojení měřičů spotřeby WRI982. Page 1/15 Michal Bassy - Březen 2011 Březen 2011 Synco living Verze s ECA Modul pro připojení měřičů spotřeby WRI982 Page 1/15 Michal Bassy - Březen 2011 Březen 2011 Dokumentace Modul pro měřiče spotřeby WRI982 se dodává s Návodem k montáži, ale bez

Více

PK Design. Modul CLK Generátor MHz v1.0. Modul programovatelného zdroje hodinových pulzů

PK Design. Modul CLK Generátor MHz v1.0. Modul programovatelného zdroje hodinových pulzů Modul CLK Generátor 20-120MHz v1.0 Modul programovatelného zdroje hodinových pulzů Uživatelský manuál ٠٣) (٢. ٦. ١.٠ م ل م» مض Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2 Použití...4

Více

Časová relé pro drážní vozidla A

Časová relé pro drážní vozidla A multifunkční a monofunkční časové relé pro drážní vozidla.02 - multifunkční a multinapěťové 2P jeden kontakt časový a jeden okamžitý (varianta) nastavení času externím potenciometrem (varianta).62 - zpožděný

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

Příloha č.: 1 ze dne: je nedílnou součástí osvědčení o akreditaci č.: 456/2012 ze dne: List 1 z 6

Příloha č.: 1 ze dne: je nedílnou součástí osvědčení o akreditaci č.: 456/2012 ze dne: List 1 z 6 List 1 z 6 Obor měřené veličiny: elektrické veličiny Kalibrace: Nominální teplota pro kalibraci: ( 23 ± 2 ) C 1 Elektrický odpor KP 01/2001 0,0 0,5 1,0 mω 0,5 1,0 0,25 % 1,0 4,0 0,070% 4,0 1,0 M 0,035

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

ČEMU ROZUMÍ MIKROPROCESOR?

ČEMU ROZUMÍ MIKROPROCESOR? ČEMU ROZUMÍ MIKROPROCESOR? Čemu rozumí mikroprocesor? Číslo DUM v digitálním archivu školy VY_32_INOVACE_10_01_01 Materiál poskytuje pohled na mikroprocesor, jako na číslicový obvod. Seznamuje se základními

Více

Indukční lineární senzor LI700P0-Q25LM0-HESG25X3-H1181

Indukční lineární senzor LI700P0-Q25LM0-HESG25X3-H1181 kvádr, hliník / plast různé způsoby montáže zbrazení měřicího rozsahu pomocí LED necitlivost vůči rušivým elektromagnetickým polím velice krátká mrtvá zóna rozlišení 0,001 mm 15 30 VDC konektor M12 x 1,

Více

List 1 z 6. Akreditovaný subjekt podle ČSN EN ISO/IEC 17025:2005: FORTE a.s. Metrologická laboratoř Mostkovice 529

List 1 z 6. Akreditovaný subjekt podle ČSN EN ISO/IEC 17025:2005: FORTE a.s. Metrologická laboratoř Mostkovice 529 List 1 z 6 Obor měřené veličiny: elektrické veličiny Kalibrace: Nominální teplota pro kalibraci: (23 ± 2) ºC 1. Elektrický odpor KP 01/2001 0,0 0,5 1,0 mω 0,5 1,0 0,25 % 1,0 4,0 0,070% 4,0 1,0 M 0,035

Více

Programovatelná počítadla CT6M a CT6S

Programovatelná počítadla CT6M a CT6S Products Elektrické stroje Elektronická počítadla Počítadla s předvolbou Programovatelná počítadla CT6M a CT6S Kombinace počítadlo / časové relé Velký výběr funkcí Funkce počítadla dávek (pouze CT6M-1P

Více

I/O modul VersaPoint. Analogový výstupní modul, 16 bitový, napětí/proud, 1 kanál IC220ALG320. Specifikace modulu. Spotřeba. Údaje pro objednávku

I/O modul VersaPoint. Analogový výstupní modul, 16 bitový, napětí/proud, 1 kanál IC220ALG320. Specifikace modulu. Spotřeba. Údaje pro objednávku Analogový výstupní modul, 16 bitový, napětí/proud, 1 kanál Modul slouží pro výstup analogových napěťových nebo proudových signálů. Tyto signály jsou k dispozici v 16 bitovém rozlišení. Specifikace modulu

Více

Základní zapojení MCU do el. obvodu. Zdroje taktovacího kmitočtu. IMTEE Přednáška č. 7. reset, oscilátor, blokování napájení

Základní zapojení MCU do el. obvodu. Zdroje taktovacího kmitočtu. IMTEE Přednáška č. 7. reset, oscilátor, blokování napájení Základní zapojení MCU do el. obvodu reset, oscilátor, blokování napájení Zdroje taktovacího kmitočtu externí krystal externí nízkofrekvenční krystal (32,768 khz) externí RC oscilátor interní kalibrovaný

Více

Zdroj napájí všechny součásti počítače převádí střídavé napětí 230 V na stejnosměrné napětí těchto hodnot: + 3,3 V port AGP, paměti, chipset, U I/O procesoru + 5 V řídící části diskových mechanik, napájení

Více

Řada 88 - Časové relé do panelu, 5-8 A

Řada 88 - Časové relé do panelu, 5-8 A multifunkční, multinapěťové a časově multirozsahové relé do panelu nebo patice multifunkční: až 7 časovyćh funkcí multirozsahové: 14 časovyćh rozsahů od 0,5 s do 100 h montáž do patice nebo do panelu 88.02

Více

VETRONICS 770. Technická specifikace mobilní jednotky

VETRONICS 770. Technická specifikace mobilní jednotky Technická specifikace mobilní jednotky VETRONICS 770 Revize 1.0, červen 2017 PRINCIP a.s. Radlická 204/503, 158 00 Praha 5 Tel.: +420 257 21 09 04, Fax: +420 257 22 02 51 E-mail: centrum@princip.cz, reklamace@princip.cz

Více

PVK. Uživatelská příručka. Zařízení určené k odlaďování aplikací s mikrokontroléry PIC16C5x, PIC16C71 a PIC16F84. Strana 1 / 9

PVK. Uživatelská příručka. Zařízení určené k odlaďování aplikací s mikrokontroléry PIC16C5x, PIC16C71 a PIC16F84. Strana 1 / 9 PVK Zařízení určené k odlaďování aplikací s mikrokontroléry PIC16C5x, PIC16C71 a PIC16F84 Uživatelská příručka Strana 1 / 9 OBSAH 1. PVK 3 1.1. Obsah dodávky 3 2. Typy procesorů 3 3. Porty 3 3.1. Port

Více

Alfanumerické displeje

Alfanumerické displeje Alfanumerické displeje Alfanumerické displeje jsou schopné zobrazovat pouze alfanumerické údaje (tj. písmena, číslice) a případně jednoduché grafické symboly definované v základním rastru znaků. Výhoda

Více

Úvod do mobilní robotiky NAIL028

Úvod do mobilní robotiky NAIL028 md at robotika.cz http://robotika.cz/guide/umor08/cs 6. října 2008 1 2 Kdo s kým Seriový port (UART) I2C CAN BUS Podpora jednočipu Jednočip... prostě jenom dráty, čti byte/bit, piš byte/bit moduly : podpora

Více

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Číslo projektu: Číslo šablony: 15 Název materiálu: Ročník: Identifikace materiálu: Jméno autora: Předmět: Tématický celek: Anotace: CZ.1.07/1.5.00/34.0410

Více

BEZDRÁTOVÉ ZABEZPEČOVACÍ ZAŘÍZENÍ

BEZDRÁTOVÉ ZABEZPEČOVACÍ ZAŘÍZENÍ BEZDRÁTOVÉ ZABEZPEČOVACÍ ZAŘÍZENÍ (Bakalářská práce) Lukáš Čapek E-mail: xcapek10@stud.feec.vutbr.cz ÚVOD Cílem mého bakalářského projektu bylo zkonstruovat jednoduché bezdrátové zařízení pro všeobecné

Více

MIKROKONTROLÉRY PIC PRO POKROČILÉ

MIKROKONTROLÉRY PIC PRO POKROČILÉ David Matoušek MIKROKONTROLÉRY PIC pro pokroèilé PIC16F628A Praha 2017 David Matoušek Mikrokontroléry PIC pro pokroèilé Recenzent Bohumil Brtník Bez pøedchozího písemného svolení nakladatelství nesmí být

Více

Návod na zapojení a ovládání řídící jednotky výtahových dveří VVVF-4

Návod na zapojení a ovládání řídící jednotky výtahových dveří VVVF-4 Návod na zapojení a ovládání řídící jednotky výtahových dveří VVVF-4 Úvod Cílem této dokumentace je ukázat možnosti použití, seřízení a naprogramování elektronického modulu VVVF-4, používaného v kabinových

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Přednáška 7, 8 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech

Přednáška 7, 8 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech Přednáška 7, 8 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech 2015, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň přednášky Zdroje hodinového signálu krystalový oscilátor systém

Více

Použití programovatelného čítače 8253

Použití programovatelného čítače 8253 Použití programovatelného čítače 8253 Zadání 1) Připojte obvod programovatelný čítač- časovač 8253 k mikropočítači 89C52. Pro čtení bude obvod mapován do prostoru vnější programové (CODE) i datové (XDATA)

Více

11. Logické analyzátory. 12. Metodika měření s logickým analyzátorem

11. Logické analyzátory. 12. Metodika měření s logickým analyzátorem +P12 11. Logické analyzátory Základní srovnání logického analyzátoru a číslicového osciloskopu Logický analyzátor blokové schéma, princip funkce Časová analýza, glitch mód a transitional timing, chyba

Více

Vana RC0001R1 RC0001R1

Vana RC0001R1 RC0001R1 Vana RC0001R1 Vana RC0001R1 má celkem 21 pozic o šířce čelního panelu 4 moduly. Je určena pro obecné použití s deskami systému Z102, který používá pro komunikaci mezi procesorovou deskou a obecnými I/O

Více

Měřič reziduální kapacity HomeGuard

Měřič reziduální kapacity HomeGuard HomeGuard Měřič reziduální kapacity HomeGuard Měřič reziduální kapacity HomeGuard je zařízení primárně určené k regulaci dobíjecího proudu nabíjecí stanice pro elektromobily. Měřič je určen pro přímé zapojení

Více

Řada 78 - Spínané napájecí zdroje

Řada 78 - Spínané napájecí zdroje Spínané napájecí zdroje na DIN-lištu výstup: 12 V DC; 12 nebo 50 24 V DC; 12, 36 nebo 60 vstup: (110...240) V AC 50/60 Hz nebo 220 V DC nízká spotřeba naprázdno < 0,4 ochrana proti přetížení a zkratu na

Více

Napájení mikroprocesorů

Napájení mikroprocesorů Napájení mikroprocesorů Přednáška A4B38NVS ČVUT- FEL, katedra měření, přednášející Jan Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT FEL, Praha 1 Náplň Napájení síťové napájení, bateriové napájení

Více

Měření pilového a sinusového průběhu pomocí digitálního osciloskopu

Měření pilového a sinusového průběhu pomocí digitálního osciloskopu Měření pilového a sinusového průběhu pomocí digitálního osciloskopu Úkol : 1. Změřte za pomoci digitálního osciloskopu průběh pilového signálu a zaznamenejte do protokolu : - čas t, po který trvá sestupná

Více

PŘIJÍMAČ / VYSÍLAČ SADA MODULŮ 433 MHZ

PŘIJÍMAČ / VYSÍLAČ SADA MODULŮ 433 MHZ NÁVOD K OBSLUZE Verze 05/02 PŘIJÍMAČ / VYSÍLAČ SADA MODULŮ 433 MHZ Obj. č.: 13 04 28 OBSAH Strana Obsah... 1 Úvod... 2 Účel použití... 2 Popis produktu... 2 Rozsah dodávky... 3 Bezpečnostní a riziková

Více

Náplň přednášky 1. Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma

Náplň přednášky 1. Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma 4 Přednáška 1 Náplň přednášky 1 Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma 5 www.vsb.cz Vestavěný řídicí systém Anglicky:

Více

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím Převodník RS232 na RS485 nebo RS422 Průmyslové provedení s krytím. UC485P Katalogový list Vytvořen: 21.1.2005 Poslední aktualizace: 5.5 2008 12:30 Počet stran: 16 2008 Strana 2 UC485P OBSAH Základní informace...

Více

Elektronický Přepínač 1x KVM, 8xPC/16xPC

Elektronický Přepínač 1x KVM, 8xPC/16xPC Elektronický Přepínač 1x KVM, 8xPC/16xPC 8portů MPC8701 - Katalogové číslo: 14.99.3220 16portů MPC16501 - Katalogové číslo: 14.99.3221 VLASTNOSTI - Ovládání 8/16 PC pomocí jedné konzole (PS/2 klávesnice,

Více

DIGI Timer 8 8 kanálové stopky se záznamem dat

DIGI Timer 8 8 kanálové stopky se záznamem dat www.dhservis.cz 8 kanálové stopky se záznamem dat Úvod Digi Timer 8 jsou osmikanálové jednoúčelové stopky, určené k časování po pěti minutových intervalech. Sdružují v sobě osm časovačů, z nichž každý

Více

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

Novinky - téma. Micrel koupen Microchipem MEMS oscilátory Spínané měniče LDO RF obvody a další

Novinky - téma. Micrel koupen Microchipem MEMS oscilátory Spínané měniče LDO RF obvody a další Novinky - téma Micrel koupen Microchipem MEMS oscilátory Spínané měniče LDO RF obvody a další LDO po spojení Type Max Input Voltage < 100 ma ~ 150 ma Single Single Dual ~ 200 ma Single Dual ~ 300 ma Ouput

Více

[ Analyzátory elektrických sítí ] NA-21/25/35/PB. [ Návod k obsluze ]

[ Analyzátory elektrických sítí ] NA-21/25/35/PB. [ Návod k obsluze ] [ Analyzátory elektrických sítí ] NA-21/25/35/PB [ Návod k obsluze ] INSTALACE Přístroj je určen k montáži do panelu o normalizované velikosti výřezu je 92 x 92 mm. Před připojením přístroje k síti se

Více

Aplikace Embedded systémů v Mechatronice. Michal Bastl A2/713a

Aplikace Embedded systémů v Mechatronice. Michal Bastl A2/713a Aplikace Embedded systémů v Mechatronice Aplikace Embedded systémů v Mechatronice Obsah přednášky: Opakovaní Funkce v C Tvorba knihoven Konfigurační bity #pragma Makra v C #define Debugging v MPLAB Hardware

Více

Mikrořadiče společnosti Atmel

Mikrořadiče společnosti Atmel Mikrořadiče společnosti Atmel Společnost Atmel je významným výrobcem mikrořadičů (MCU) na trhu. Svou produkci v této oblasti člení do čtyř větších skupin: mikrořadiče pro bezdrátové technologie, architekturu

Více