1. Maple - verze. 2. Maple - prostredi. Document Mode vs. Worksheet Mode

Rozměr: px
Začít zobrazení ze stránky:

Download "1. Maple - verze. 2. Maple - prostredi. Document Mode vs. Worksheet Mode"

Transkript

1 1. Maple - verze - Maple 18: Standard Worksheet (.mw) - Classic Worksheet Maple 18 (.mws) - starsi pocitace, mene pameti - Command-line Maple 18 - komplexni vypocty - a jine (kalkulacka, vlastni aplikace - maplets) 2. Maple - prostredi Document Mode vs. Worksheet Mode Maple offers two primary modes of problem entry and content creation: Document mode and Worksheet mode. Both modes have respective advantages and you can easily switch from one mode to the other for maximum flexibility. See worksheet for more information on the worksheet interface. Document Mode Quick problem-solving and free-form, rich content composition No prompt () displayed Math is entered and displayed in 2-D Solve math problems with right-click menu on input and output Worksheet Mode Traditional Maple problem-solving environment Enter problems at a prompt () Math entered and displayed in 2-D or 1-D Solve math problems with right-click menu on output Document mode lets you create rich content. For example, the following solves for x without any commands: The command to perform the same operation in Worksheet mode is in 2-D (Math) Input: solutions for x or in 1-D (Maple) Input: solve((x-2)/alpha=1,x); (2.1.1) (2.1.2) Toggle Math/Text entry mode [F5] Toggle 2-D/1-D Math entry mode [F5] 2-D black font, 1 -D red font on toolbar

2 Evaluate math expression and display result inline [Ctrl][=] Evaluate math expression and display result on new line [Enter] Evaluate math expression and display result on new line [Enter] Continue on next line without executing [Shift][Enter] Switch to Worksheet mode (insert prompt) on toolbar Switch to Document mode Format Create Document Block Show hidden commands View Expand Document Block Hide commands. Show only results. Highlight commands to be hidden. Format Create Document Block Ikonky novy subor (Create a new file ulozeni (Save the active file T (Insert plain text after the current execution group [ (Insert Maple Input after the current execution group (vytvori novy radek, executable) Math mode - text je cerny + radek nemusi byt ukoncen ; nebo : Text mode - text je cerveny + radek musi byt ukoncen ; nebo : novy blok (Enclose the current selection in a document mode, or create a new one) odstavce (Enclose the selection in a subsection Z Remove any section enclosing the selection) restart (Restart Maple server)!!! (Execute the entire worksheet)! (Execute all selected groups) preruseni operace (Interrupt the current operation) Priklad: Warning, computation interrupted klikaci "matematika" (Toggle the display of clickable math popup) zpusob reseni help (Open the help system {F2,Ctrl+mezernik} (napoveda pro dany prikaz) Palety - viz leva cast pracovniho okna - umoznuji rychlejsi zadavani matematickeho vstupu, specialnich symbolu,...

3 Context menu - prave tlacitko na vybrany vyraz 3. Reseni problemu Cisla (symbol v Open Face palete) - cele cisla = Z/; - racionalni cisla (3.1.1) 1 2 (3.1.2) - realne cisla (3.1.3) - komplexne cisla (3.1.4) (3.1.5) (3.1.6) Zakladni operace: +, -, *, / Konstanty - hodnota (cislo)

4 - cislo (3.2.1) (3.2.2) (3.2.3) (3.2.4) (3.2.5) exponenciala Pozor: neni Eulerovo cislo! e e e (3.2.6) (3.2.7) (3.2.8) (3.2.9) (3.2.10) Promenne nazvy - kombinace: male/velke pismena + cislice + "_" mezery v nazvech promennych nepouzivat - Maple chape mezery jako nasobeni (az na 1-D mode) Priklady: promenna1 2 (3.3.1) (3.3.2) (3.3.3) (3.3.4)

5 (3.3.5) (3.3.6) (3.3.7) (3.3.8) Zapis matematickych vyrazu 1-D Math vs. 2-D Math Input Z 2-D Math Input) int(exp(-x^2), x = 0.. infinity); (3.4.1) (3.4.2) (3.4.3)!!! Pozor na mezery!!! : = ale = Vyhodnoceni vyrazu Document mode: 3 (3.5.1) = 3

6 Worksheet mode (s [): a) Math (cerny text) (+ Enter) 3 (3.5.2) 10 (+Shift+Enter (nevyhodnoti radek, radky musi matematicky "navazovat")) b) Text (cerveny text) ; ; 10 Hlaseni chyb (3.5.3) (3.5.4) Error, unable to match delimiters sin(x... v Maple 17 zadna chybova hlaska, ale taky zadny vystup v Maple 16 jeste byla chybova hlaska: Warning, premature end of input, use <Shift + <Enter to avoid this message. sin(x; Error, `;` unexpected Error, unable to match delimiters Operace s vyrazy - zjednodusi vyraz 1 2 ( )

7 ( ) 1 ( ) ( ) ( ) ( ) 1 ( ) ( ) ( ) - roznasobi soucin ( ) ( )

8 ( ) ( ) - rozlozi na soucin cinitelu combine - slouci vyrazy stejneho typu ( ) ( ) ( ) ( ) ( ) ( ) convert - ukazeme si jenom prevod na parcialni zlomky ( ) ( )

9 ( ) ( ) Deleni polynomu - zjisti, zda jsou polynomy delitelne beze zbytku true true ( ) ( ) ( ) false q ( ) ( ) - vydeli dva polynomy a zbytek ulozi do promenne je jako nahore v casti pro divide, i.e. ( ) ( ) ( ) 3 ( ) ( )

10 - zbytek po deleni dvou polynomu 3 ( ) ( )

1. Uvod 2. Maple - verze - Maple 18: Standard Worksheet (.mw) -!! my budeme pouzivat!! 3. Maple - prostredi Ikonky Poznamka: Priklad: >

1. Uvod 2. Maple - verze - Maple 18: Standard Worksheet (.mw) -!! my budeme pouzivat!! 3. Maple - prostredi Ikonky Poznamka: Priklad: > 1. Uvod mathematical computational engine / software OBSAH PREDMETU: zakladni principy/uvod - Maple 18 ABSOLVOVANI PREDMETU: klasifikovany zapocet 1) test na cviceni (90 min, 14. tyden, povoleny vsechny

Více

User manual SŘHV Online WEB interface for CUSTOMERS June 2017 version 14 VÍTKOVICE STEEL, a.s. vitkovicesteel.com

User manual SŘHV Online WEB interface for CUSTOMERS June 2017 version 14 VÍTKOVICE STEEL, a.s. vitkovicesteel.com 1/ 11 User manual SŘHV Online WEB interface for CUSTOMERS June 2017 version 14 2/ 11 Contents 1. MINIMUM SYSTEM REQUIREMENTS... 3 2. SŘHV ON-LINE WEB INTERFACE... 4 3. LOGGING INTO SŘHV... 4 4. CONTRACT

Více

WYSIWYG EDITOR PRO XML FORM

WYSIWYG EDITOR PRO XML FORM WYSIWYG EDITOR PRO XML FORM Ing. Tran Thanh Huan, Ing. Nguyen Ba Nghien, Doc. Ing. Josef Kokeš, CSc Abstract: In this paper, we introduce the WYSIWYG editor pro XML Form. We also show how to create a form

Více

GUIDELINES FOR CONNECTION TO FTP SERVER TO TRANSFER PRINTING DATA

GUIDELINES FOR CONNECTION TO FTP SERVER TO TRANSFER PRINTING DATA GUIDELINES FOR CONNECTION TO FTP SERVER TO TRANSFER PRINTING DATA What is an FTP client and how to use it? FTP (File transport protocol) - A protocol used to transfer your printing data files to the MAFRAPRINT

Více

Modelování ve vodním hospodářství II. Modeling in Water Management

Modelování ve vodním hospodářství II. Modeling in Water Management Design, performance and operation of selected water structures Kosice, 2012 LLP IP Erasmus No. 11203-1660/KOSICE03 Modelování ve vodním hospodářství II. Modeling in Water Management Ing. Hana Uhmannová,

Více

WORKSHEET 1: LINEAR EQUATION 1

WORKSHEET 1: LINEAR EQUATION 1 WORKSHEET 1: LINEAR EQUATION 1 1. Write down the arithmetical problem according the dictation: 2. Translate the English words, you can use a dictionary: equations to solve solve inverse operation variable

Více

UPM3 Hybrid Návod na ovládání Čerpadlo UPM3 Hybrid 2-5 Instruction Manual UPM3 Hybrid Circulation Pump 6-9

UPM3 Hybrid Návod na ovládání Čerpadlo UPM3 Hybrid 2-5 Instruction Manual UPM3 Hybrid Circulation Pump 6-9 www.regulus.cz UPM3 Hybrid Návod na ovládání Čerpadlo UPM3 Hybrid 2-5 Instruction Manual UPM3 Hybrid Circulation Pump 6-9 CZ EN UPM3 Hybrid 1. Úvod V továrním nastavení čerpadla UPM3 Hybrid je profil PWM

Více

Vytvoření pokročilé Fotogalerie v Drupalu - Views

Vytvoření pokročilé Fotogalerie v Drupalu - Views Vytvoření pokročilé Fotogalerie v Drupalu - Views Views Máme tři pohledy: gallery_photos, all_galeries, admin_gallery Buď je můžete vytvořit podle návodu níže, nebo importovat z přiložených txt souborů

Více

TKGA6. Synchronní modelování v programu Solid Edge. Projekt "Podpora výuky v cizích jazycích na SPŠT"

TKGA6. Synchronní modelování v programu Solid Edge. Projekt Podpora výuky v cizích jazycích na SPŠT Projekt "Podpora výuky v cizích jazycích na SPŠT" Synchronní modelování v programu Solid Edge TKGA6 Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem ČR 1 Synchronní modelování

Více

Výrazy a operátory. Operátory Unární - unární a unární + Např.: a +b

Výrazy a operátory. Operátory Unární - unární a unární + Např.: a +b Výrazy a operátory i = 2 i = 2; to je výraz to je příkaz 4. Operátory Unární - unární a unární + Např.: +5-5 -8.345 -a +b - unární ++ - inkrement - zvýší hodnotu proměnné o 1 - unární -- - dekrement -

Více

Introduction to MS Dynamics NAV

Introduction to MS Dynamics NAV Introduction to MS Dynamics NAV (Item Charges) Ing.J.Skorkovský,CSc. MASARYK UNIVERSITY BRNO, Czech Republic Faculty of economics and business administration Department of corporate economy Item Charges

Více

pi Ludolfovo číslo π = 3,14159 e Eulerovo číslo e = 2,71828 (lze spočítat jako exp(1)), např. je v Octave, v MATLABu tato konstanta e není

pi Ludolfovo číslo π = 3,14159 e Eulerovo číslo e = 2,71828 (lze spočítat jako exp(1)), např. je v Octave, v MATLABu tato konstanta e není realmax maximální použitelné reálné kladné číslo realmin minimální použitelné reálné kladné číslo (v absolutní hodnotě, tj. číslo nejblíž k nule které lze použít) 0 pi Ludolfovo číslo π = 3,14159 e Eulerovo

Více

8. Posloupnosti, vektory a matice

8. Posloupnosti, vektory a matice . jsou užitečné matematické nástroje. V Mathcadu je často používáme například k rychlému zápisu velkého počtu vztahů s proměnnými parametry, ke zpracování naměřených hodnot, k výpočtům lineárních soustav

Více

11.12. 100 ΕΙΣΟΔΟΣ = E / ENTRANCE = E = = 1174 550 ΤΥΠΟΠΟΙΗΜΕΝΟ ΚΥ = 2000 (ΕΠΙΛΟΓΗ: 2100) / CH STANDARD = 2000 (OPTIONAL: 2100) 243 50 ΚΥ/CH + 293 ΚΥ/CH +103 100 ΚΥ /CH 6 11 6 20 100 0,25 ΚΑ (CO) + 45

Více

Systém je citlivý na velikost písmen CASE SENSITIVE rozeznává malá velká písmena, např. PROM=1; PROm=1; PRom=1; Prom=1; prom=1; - 5 různých proměnných

Systém je citlivý na velikost písmen CASE SENSITIVE rozeznává malá velká písmena, např. PROM=1; PROm=1; PRom=1; Prom=1; prom=1; - 5 různých proměnných Systém je citlivý na velikost písmen CASE SENSITIVE rozeznává malá velká písmena, např. PROM=1; PROm=1; PRom=1; Prom=1; prom=1; - 5 různých proměnných jakési nádoby na hodnoty jsou různých typů při běžné

Více

2N LiftIP. IO Extender. Communicator for Lifts. Version

2N LiftIP. IO Extender. Communicator for Lifts. Version 2N LiftIP Communicator for Lifts IO Extender Version 2.4.0 www.2n.cz Description The IO extender helps you extend 2N LiftIP with 1 input and 2 outputs. The purpose of the input is to cancel the rescue

Více

Základy programování Proměnné Procedury, funkce, události Operátory a podmínková logika Objekt Range a Cells, odkazy Vlastnosti, metody a události

Základy programování Proměnné Procedury, funkce, události Operátory a podmínková logika Objekt Range a Cells, odkazy Vlastnosti, metody a události Petr Blaha Základy programování Proměnné Procedury, funkce, události Operátory a podmínková logika Objekt Range a Cells, odkazy Vlastnosti, metody a události Cykly Základní funkce (matematické, textové,

Více

Příloha 6. Palety nástrojů

Příloha 6. Palety nástrojů Příloha 6. Palety nástrojů Palety nástrojů v IDE poskytují zkrácení pro příkazy nabídky. Příkazy jsou rozděleny do několika palet nástrojů, které mohou být nezávisle přeskupeny nebo vloženy do plovoucích

Více

If there is any inconsistency of weather forecast between Local Weather Station and this unit, the Local Weather Station's forecast should prevail. The trend pointer displayed on the LCD indicates the

Více

1.1 Struktura programu v Pascalu Vstup a výstup Operátory a některé matematické funkce 5

1.1 Struktura programu v Pascalu Vstup a výstup Operátory a některé matematické funkce 5 Obsah Obsah 1 Programovací jazyk Pascal 1 1.1 Struktura programu v Pascalu.................... 1 2 Proměnné 2 2.1 Vstup a výstup............................ 3 3 Operátory a některé matematické funkce 5

Více

Transportation Problem

Transportation Problem Transportation Problem ١ C H A P T E R 7 Transportation Problem The transportation problem seeks to minimize the total shipping costs of transporting goods from m origins (each with a supply s i ) to n

Více

5a. Makra Visual Basic pro Microsoft Escel. Vytvořil Institut biostatistiky a analýz, Masarykova univerzita J. Kalina

5a. Makra Visual Basic pro Microsoft Escel. Vytvořil Institut biostatistiky a analýz, Masarykova univerzita J. Kalina 5a. Makra Visual Basic pro Microsoft Escel Vytvořil Institut biostatistiky a analýz, Masarykova univerzita J. Kalina Cyklické odkazy a iterativní výpočty Zde bude stránka o cyklických odkazech a iteracích.

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 1.díl. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 1.díl. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 1.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 06 Ver.1.10 J. Zděnek,

Více

Image Analysis and MATLAB. Jiří Militky

Image Analysis and MATLAB. Jiří Militky Image Analysis and MATLAB Jiří Militky Basic Matlab commands 0.5 0.8 IMREAD Read image from graphics file IMHIST Display histogram of image data. 0.694 GRAYTHRESH Compute global image threshold using Otsu's

Více

2. Entity, Architecture, Process

2. Entity, Architecture, Process Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

DC circuits with a single source

DC circuits with a single source Název projektu: utomatizace výrobních procesů ve strojírenství a řemeslech egistrační číslo: Z..07/..0/0.008 Příjemce: SPŠ strojnická a SOŠ profesora Švejcara Plzeň, Klatovská 09 Tento projekt je spolufinancován

Více

Najděte, co hledáte. 83 Jak objevit sílu přírůstkového hledání

Najděte, co hledáte. 83 Jak objevit sílu přírůstkového hledání Najděte, co hledáte Testováním vyhledávacích funkci editoru jsem nestrávila mnoho času, protože jsem se zabývala hlavně samotným editováním a funkcemi Microsoft IntelliSense. Občas jsem však pomáhala s

Více

USING VIDEO IN PRE-SET AND IN-SET TEACHER TRAINING

USING VIDEO IN PRE-SET AND IN-SET TEACHER TRAINING USING VIDEO IN PRE-SET AND IN-SET TEACHER TRAINING Eva Minaříková Institute for Research in School Education, Faculty of Education, Masaryk University Structure of the presentation What can we as teachers

Více

Kurz Databáze. Obsah. Formuláře. Práce s daty. Doc. Ing. Radim Farana, CSc.

Kurz Databáze. Obsah. Formuláře. Práce s daty. Doc. Ing. Radim Farana, CSc. Kurz Databáze Práce s daty Doc. Ing. Radim Farana, CSc. Obsah Formuláře, typy formulářů, sekce formuláře. Objekty formuláře. Vytváření formulářů pomocí průvodce. Výběr dat, virtuální položky, agregace

Více

Algoritmizace a programování

Algoritmizace a programování Algoritmizace a programování Výrazy Operátory Výrazy Verze pro akademický rok 2012/2013 1 Operace, operátory Unární jeden operand, operátor se zapisuje ve většině případů před operand, v některých případech

Více

EURO přeshraniční platba

EURO přeshraniční platba EURO přeshraniční platba EURO přeshraniční platba je platební příkaz splňující následující kriteria: Je předložen elektronicky Je požadováno standardní provedení (tj. nikoliv urgentní nebo expresní) Částka

Více

Inovace bakalářského studijního oboru Aplikovaná chemie http://aplchem.upol.cz

Inovace bakalářského studijního oboru Aplikovaná chemie http://aplchem.upol.cz Inovace bakalářského studijního oboru Aplikovaná chemie http://aplchem.upol.cz CZ.1.07/2.2.00/15.0247 Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. Tvorba

Více

4a. Makra Visual Basic pro Microsoft Excel Cyklické odkazy a iterace Makra funkce a metody

4a. Makra Visual Basic pro Microsoft Excel Cyklické odkazy a iterace Makra funkce a metody 4a. Makra Visual Basic pro Microsoft Excel Cyklické odkazy a iterace Makra funkce a metody Vytvořil Institut biostatistiky a analýz, Masarykova univerzita J. Kalina Cyklické odkazy a iterativní výpočty

Více

SQL v14. 4D Developer konference. 4D Developer conference 2015 Prague, CZ Celebrating 30 years

SQL v14. 4D Developer konference. 4D Developer conference 2015 Prague, CZ Celebrating 30 years SQL v14 4D Developer konference Obsah části SQL Porovnání 4D a SQL Nové příkazy SQL Upravené příkazy SQL Optimalizace SQL SQL v14 porovnání Definice dat - struktury Manipulace s daty Definice dat Vytvoření

Více

Úvod do datového a procesního modelování pomocí CASE Erwin a BPwin

Úvod do datového a procesního modelování pomocí CASE Erwin a BPwin Úvod do datového a procesního modelování pomocí CASE Erwin a BPwin (nově AllFusion Data Modeller a Process Modeller ) Doc. Ing. B. Miniberger,CSc. BIVŠ Praha 2009 Tvorba datového modelu Identifikace entit

Více

Jak importovat profily do Cura (Windows a

Jak importovat profily do Cura (Windows a Jak importovat profily do Cura (Windows a macos) Written By: Jakub Dolezal 2019 manual.prusa3d.com/ Page 1 of 10 Step 1 Stažení Cura profilů V tomto návodu se dozvíte, jak importovat a aktivovat nastavení

Více

Návod k aplikaci JanDat v.2.3

Návod k aplikaci JanDat v.2.3 Návod k aplikaci JanDat v.2.3 Petr Pala Jiří Chroust Copyright 2007 CENIA, laboratoř GIS 1. Úvod 2. Části aplikace 2.1. Menu 2.1.1. File 2.1.2. Record 2.1.3. Header 2.1.4. Tools 2.1.5. Languages 2.1.6.

Více

- transpozice (odlišuje se od překlopení pro komplexní čísla) - překlopení matice pole podle hlavní diagonály, např.: A.' ans =

- transpozice (odlišuje se od překlopení pro komplexní čísla) - překlopení matice pole podle hlavní diagonály, např.: A.' ans = '.' - transpozice (odlišuje se od překlopení pro komplexní čísla) - překlopení matice pole podle hlavní diagonály, např.: A.' 1 4 2 5 3-6 {} - uzavírají (obklopují) struktury (složené proměnné) - v případě

Více

Next line show use of paragraf symbol. It should be kept with the following number. Jak může státní zástupce věc odložit zmiňuje 159a.

Next line show use of paragraf symbol. It should be kept with the following number. Jak může státní zástupce věc odložit zmiňuje 159a. 1 Bad line breaks The follwing text has prepostions O and k at end of line which is incorrect according to Czech language typography standards: Mezi oblíbené dětské pohádky patří pohádky O Palečkovi, Alenka

Více

Scratchpad ve vstupním okně deníku

Scratchpad ve vstupním okně deníku Scratchpad ve vstupním okně deníku 9.0 ScratchPad Aki Yoshida JA1NLX 1 9.1. Všeobecně ScratchPad je jedním z užitečných nástrojů, jak uložit zajímavé QSO stejně, jako si dělat poznámky na papír. Poskytuje

Více

Litosil - application

Litosil - application Litosil - application The series of Litosil is primarily determined for cut polished floors. The cut polished floors are supplied by some specialized firms which are fitted with the appropriate technical

Více

Úvod. Tlačítka. Typ baterie

Úvod. Tlačítka. Typ baterie Math Professor Úvod Kalkulačka je určena dětem jako pomůcka k výuce matematiky. Pomáhá trénovat mozek k rychlejším výpočtům, budovat logické myšlení a zdokonalovat paměť. Tlačítka Stiskněte pro vstup do

Více

02. HODINA. 2.1 Typy souborů a objektů. 2.2 Ovládací prvky Label a TextBox

02. HODINA. 2.1 Typy souborů a objektů. 2.2 Ovládací prvky Label a TextBox 02. HODINA Obsah: 1. Typy souborů a objektů 2. Ovládací prvky Label a TextBox 3. Základní příkazy a vlastnosti ovládacích prvků 4. Práce s objekty (ovládací prvky a jejich vlastnosti) 2.1 Typy souborů

Více

Stručný postup k použití programu PL7 Junior (programování TSX Micro)

Stručný postup k použití programu PL7 Junior (programování TSX Micro) Stručný postup k použití programu PL7 Junior (programování TSX Micro) 1. Připojení PLC TSX Micro k počítači Kabel, trvale zapojený ke konektoru TER PLC, je nutné zapojit na sériový port PC. 2. Spuštění

Více

Databáze ROMARIN (WIPO)

Databáze ROMARIN (WIPO) Databáze ROMARIN (WIPO) 1. Otevření databáze (Open database) Označíme nabízenou databázi (U:\) a potvrdíme výběr kliknutím na OK. 2. Výběr databáze (Database overview) Vybereme databázi, se kterou chceme

Více

RNDr. Jakub Lokoč, Ph.D. RNDr. Michal Kopecký, Ph.D. Katedra softwarového inženýrství Matematicko-Fyzikální fakulta Univerzita Karlova v Praze

RNDr. Jakub Lokoč, Ph.D. RNDr. Michal Kopecký, Ph.D. Katedra softwarového inženýrství Matematicko-Fyzikální fakulta Univerzita Karlova v Praze RNDr. Jakub Lokoč, Ph.D. RNDr. Michal Kopecký, Ph.D. Katedra softwarového inženýrství Matematicko-Fyzikální fakulta Univerzita Karlova v Praze 1 Relační algebra / Relational Algebra 2 Kino(Jmeno, Mesto,

Více

2N Voice Alarm Station

2N Voice Alarm Station 2N Voice Alarm Station 2N Lift1 Installation Manual Version 1.0.0 www.2n.cz EN Voice Alarm Station Description The 2N Voice Alarm Station extends the 2N Lift1/ 2N SingleTalk with an audio unit installed

Více

Programovani v Maplu Procedura

Programovani v Maplu Procedura Programovani v Maplu Procedura Priklad: procedura, ktera scita 2 cisla: a + 2*b soucet := proc (a, b) local c; # lokalni promenna - existuje a meni se jenom uvnitr procedury c:=a+b; # globalni promenna

Více

Návod k aplikaci SQL Tools v.2.3

Návod k aplikaci SQL Tools v.2.3 Návod k aplikaci SQL Tools v.2.3 Jiří Chroust Copyright 2007 CENIA, laboratoř GIS 1. Úvod 2. Části základního okna 2.1. Menu 2.1.1. Connection 2.1.2. Help 2.1.3. Languages 2.2. Nástrojová lišta 2.3. Strom

Více

Začínáme. s Autodesk 3ds Max Design

Začínáme. s Autodesk 3ds Max Design Začínáme s Autodesk 3ds Max Design 1 2 3ds Max Import dat a jejich přizpůsobení 1. Spusťte aplikaci 3ds Max. 2. V menu Open otevřete dialogové okno Open. Application menu > Open > Open Zvolte soubor /3DS

Více

Informační systémy 2008/2009. Radim Farana. Obsah. Dotazy přes více tabulek

Informační systémy 2008/2009. Radim Farana. Obsah. Dotazy přes více tabulek 5 Vysoká škola báňská Technická univerzita Ostrava Fakulta strojní, Katedra automatizační techniky a řízení 2008/2009 Radim Farana 1 Obsah Jazyk SQL, Spojení tabulek, agregační dotazy, jednoduché a složené

Více

M-LOCK Magnetický zámek pro křídlové sklněné dveře. M-LOCK Magnetic lock for glass swing doors

M-LOCK Magnetický zámek pro křídlové sklněné dveře. M-LOCK Magnetic lock for glass swing doors M-LOCK Magnetický zámek pro křídlové sklněné dveře M-LOCK Magnetic lock for glass swing doors M-L ock Serratura Magnetica Magnetický zámek M-Lock Přednosti (výhody) 31 mm Extrémně malé rozměry Extrémně

Více

Postup objednávky Microsoft Action Pack Subscription

Postup objednávky Microsoft Action Pack Subscription Postup objednávky Microsoft Action Pack Subscription DŮLEŽITÉ: Pro objednání MAPS musíte být členem Microsoft Partner Programu na úrovni Registered Member. Postup registrace do Partnerského programu naleznete

Více

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna CAD library Aplikace CAD library je určena pro zobrazení schémat a pohledů na přístroje firmy Schneider Electric (obsahuje také knihovnu elektrotechnických značek pro všeobecné použití). Zobrazené výkresy

Více

Nápověda k aplikaci GraphGUI

Nápověda k aplikaci GraphGUI Nápověda k aplikaci GraphGUI 1 APLIKACE Aplikace slouží pro zobrazování závislosti několika veličin s různými jednotkami a rozsahy na čase v jednom grafu. Do aplikace lze importovat data ze souborů různých

Více

Manuál k aplikaci FieldGIS v.2.27

Manuál k aplikaci FieldGIS v.2.27 Manuál k aplikaci FieldGIS v.2.27 Petr Pala Copyright 2008 CENIA, laboratoř GIS 1. Úvod 1. Systémové požadavky 2. Části základního okna aplikace 1. Menu 1.1. File 1.2. Tools 1.3. Hlavní lišta 2. Editor

Více

PROGRAM MAXIMA. KORDEK, David, (CZ) PROGRAM MAXIMA

PROGRAM MAXIMA. KORDEK, David, (CZ) PROGRAM MAXIMA PROGRAM MAXIMA KORDEK, David, (CZ) Abstrakt. Co je to Open Source Software? Příklady některých nejpoužívanějších software tohoto typu. Výhody a nevýhody Open Source Software. Jak získat program Maxima.

Více

Stručný návod na program COMSOL, řešení příkladu 6 z Tepelných procesů.

Stručný návod na program COMSOL, řešení příkladu 6 z Tepelných procesů. Stručný návod na program COMSOL, řešení příkladu 6 z Tepelných procesů. Zadání: Implementujte problém neustáleného vedení tepla v prostorově 1D systému v programu COMSOL. Ujistěte se, že v ustáleném stavu

Více

PRAVIDLA ZPRACOVÁNÍ STANDARDNÍCH ELEKTRONICKÝCH ZAHRANIČNÍCH PLATEBNÍCH PŘÍKAZŮ STANDARD ELECTRONIC FOREIGN PAYMENT ORDERS PROCESSING RULES

PRAVIDLA ZPRACOVÁNÍ STANDARDNÍCH ELEKTRONICKÝCH ZAHRANIČNÍCH PLATEBNÍCH PŘÍKAZŮ STANDARD ELECTRONIC FOREIGN PAYMENT ORDERS PROCESSING RULES PRAVIDLA ZPRACOVÁNÍ STANDARDNÍCH ELEKTRONICKÝCH ZAHRANIČNÍCH PLATEBNÍCH PŘÍKAZŮ STANDARD ELECTRONIC FOREIGN PAYMENT ORDERS PROCESSING RULES Použité pojmy Platební systém Elektronický platební příkaz Účetní

Více

SPECIFICATION FOR ALDER LED

SPECIFICATION FOR ALDER LED SPECIFICATION FOR ALDER LED MODEL:AS-D75xxyy-C2LZ-H1-E 1 / 13 Absolute Maximum Ratings (Ta = 25 C) Parameter Symbol Absolute maximum Rating Unit Peak Forward Current I FP 500 ma Forward Current(DC) IF

Více

VISUAL BASIC. Práce se soubory

VISUAL BASIC. Práce se soubory VISUAL BASIC Práce se soubory Práce se soubory 1/2 2 Vstupní data pro programy bývají uloženy do souborů Vstupy pro výpočet, nastavení vzhledu aplikace Výsledky práce programu je potřeba uchovat uložit

Více

Příručka aplikace KNetWalk. Fela Winkelmolen Eugene Trounev

Příručka aplikace KNetWalk. Fela Winkelmolen Eugene Trounev Fela Winkelmolen Eugene Trounev Překlad: Lukáš Vlček 2 Obsah 1 Úvod 6 2 Jak hrát 7 3 Herní pravidla, strategie a tipy 8 3.1 Pravidla........................................... 8 3.2 Strategie a tipy........................................

Více

O makrech, která umí aritmetiku s velkými čísly. Macros Which Handle Arithmetics with Big Numbers. Jan Šustek KMa PřF OU. Brejlov

O makrech, která umí aritmetiku s velkými čísly. Macros Which Handle Arithmetics with Big Numbers. Jan Šustek KMa PřF OU. Brejlov O makrech, která umí aritmetiku s velkými čísly Macros Which Handle Arithmetics with Big Numbers KMa PřF OU Brejlov.. 0 O makrech, která umí aritmetiku s velkými čísly Reprezentace čísel Representation

Více

MapleCloud a jeho použ ití. Vladimír Žák

MapleCloud a jeho použ ití. Vladimír Žák MapleCloud a jeho použ ití Vladimír Žák Brno, 2015 Obsah 1 Úvod... 4 2 Novinky v MapleCloud pro Maple 2015... 5 3 MapleCloud a registrace... 6 4 Použití MapleCloud přímo z Maple 2015... 7 4.1 Popis jednotlivých

Více

Instalace MS SQL Serveru 2012

Instalace MS SQL Serveru 2012 Instalace MS SQL Serveru 2012 v bezplatné verzi Express Upozornění: následující text nelze chápat jako kompletní instalační instrukce produktu MS SQL - jedná se pouze stručný návod, který z principu nemůže

Více

Wolfram Mathematica. Mgr. Jindřich Soukup 2. 7. 2012

Wolfram Mathematica. Mgr. Jindřich Soukup 2. 7. 2012 Wolfram Mathematica Mgr. Jindřich Soukup. 7. 0 Mathematica Tento soubor má sloužit jako první seznámení s programem Mathematica. Většina věcí je pouze přeložená z Help Tutorial.... V souboru je text a

Více

Gymnázium, Brno, Slovanské nám. 7 WORKBOOK. Mathematics. Teacher: Student:

Gymnázium, Brno, Slovanské nám. 7 WORKBOOK.   Mathematics. Teacher: Student: WORKBOOK Subject: Teacher: Student: Mathematics.... School year:../ Conic section The conic sections are the nondegenerate curves generated by the intersections of a plane with one or two nappes of a cone.

Více

MySQL sežere vaše data

MySQL sežere vaše data MySQL sežere vaše data David Karban @davidkarban AWS Certified http://davidkarban.cz/ It s not a bug, it s a feature syndrome Pravděpodobně znáte indexy. Urychlují dotazy. Mohou být řazené, vzestupně i

Více

Logické operace. Datový typ bool. Relační operátory. Logické operátory. IAJCE Přednáška č. 3. může nabýt hodnot: o true o false

Logické operace. Datový typ bool. Relační operátory. Logické operátory. IAJCE Přednáška č. 3. může nabýt hodnot: o true o false Logické operace Datový typ bool může nabýt hodnot: o true o false Relační operátory pravda, 1, nepravda, 0, hodnoty všech primitivních datových typů (int, double ) jsou uspořádané lze je porovnávat binární

Více

Microsoft Lync WEB meeting

Microsoft Lync WEB meeting User - documentation ENU and CZ version Microsoft Lync WEB meeting - Připojení k WEB meetingu prostřednictvím Microsoft Lync Date: 10. 5. 2013 Version: 0.2 ENU, CZ www.axiomprovis.cz Version description:

Více

EVROPSKÝ SOCIÁLNÍ FOND. Úvod do PHP PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI

EVROPSKÝ SOCIÁLNÍ FOND. Úvod do PHP PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI EVROPSKÝ SOCIÁLNÍ FOND Úvod do PHP PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI Úvod do PHP PHP Personal Home Page Hypertext Preprocessor jazyk na tvorbu dokumentů přípona: *.php skript je součást HTML stránky!

Více

Základní ovládání a práce s programem Rhinoceros 3D

Základní ovládání a práce s programem Rhinoceros 3D přednáška 2 Základní ovládání a práce s programem Rhinoceros 3D Program Rhinoceros 3D po spuštění zobrazí základní pracovní prostor, který je složen ze čtyř pracovních oken zobrazujících vytvářený model

Více

CSS Stylování stránek. Zpracoval: Petr Lasák

CSS Stylování stránek. Zpracoval: Petr Lasák CSS Stylování stránek Zpracoval: Petr Lasák Cascade Style Sheets Sada stylů každý element má styl svého zobrazení Říká, jak má být element zobrazen, ne co v něm je Do verze HTML 4.0 byl vzhled měněn pouze

Více

ZŠ ÚnO, Bratří Čapků 1332

ZŠ ÚnO, Bratří Čapků 1332 Úvodní obrazovka Menu (vlevo nahoře) Návrat na hlavní stránku Obsah Výsledky Poznámky Záložky edunet Konec Matematika 1 (pro 12-16 let) LangMaster Obsah (střední část) výběr tématu - dvojklikem v seznamu

Více

Vychytávky v iptables

Vychytávky v iptables 1 NAT NAT neboli Network Address Translation je technika vyvinutá především kvůli omezení velikosti IPv4 adresního prostoru. Jeho princip spočívá jednoduše řečeno v tom, že se určité (privátní)ipadresy

Více

Wolfram Alpha. v podobě html stránky, samotný výsledek je často doplněn o další informace (např. graf, jiné možné zobrazení výsledku a

Wolfram Alpha. v podobě html stránky, samotný výsledek je často doplněn o další informace (např. graf, jiné možné zobrazení výsledku a Wolfram Alpha jde o výpočetní prostředí z nejrůznějších oborů (matematika, fyzika, chemie, inženýrství... ) přístupné online: http://www.wolframalpha.com/ Jaké matematické výpočty Wolfram Alpha zvládá?

Více

Chapter 7: Process Synchronization

Chapter 7: Process Synchronization Chapter 7: Process Synchronization Background The Critical-Section Problem Synchronization Hardware Semaphores Classical Problems of Synchronization Critical Regions Monitors Synchronization in Solaris

Více

Plantronics Explorer 50. Návod k obsluze

Plantronics Explorer 50. Návod k obsluze Plantronics Explorer 50 Návod k obsluze Obsah Vítejte 3 Obsah balení 4 Přehled náhlavní soupravy 5 Buďte opatrní 5 Pair and Charge 6 Get Paired 6 Activate pair mode 6 Use two phones 6 Reconnect 6 Charge

Více

Zápis programu v jazyce C#

Zápis programu v jazyce C# Zápis programu v jazyce C# Základní syntaktická pravidla C# = case sensitive jazyk rozlišuje velikost písmen Tzv. bílé znaky (Enter, mezera, tab ) ve ZK překladač ignoruje každý příkaz končí ; oddělovač

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 2.díl. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 2.díl. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 2.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 07 Ver.1.10 J. Zděnek,

Více

6. blok část B Vnořené dotazy

6. blok část B Vnořené dotazy 6. blok část B Vnořené dotazy Studijní cíl Tento blok je věnován práci s vnořenými dotazy. Popisuje rozdíl mezi korelovanými a nekorelovanými vnořenými dotazy a zobrazuje jejich použití. Doba nutná k nastudování

Více

- speciální symboly + - * / =., < > <> <= >= a další. Klíčová slova jsou chráněnými útvary, které nelze použít ve významu identifikátorů.

- speciální symboly + - * / =., < > <> <= >= a další. Klíčová slova jsou chráněnými útvary, které nelze použít ve významu identifikátorů. Základní symboly - písmena A B C Y Z a b c y z - číslice 0 1 2 9 - speciální symboly + - * / =., < > = a další - klíčová slova and array begin case const a další Klíčová slova jsou chráněnými útvary,

Více

PL/SQL. Jazyk SQL je jazykem deklarativním, který neobsahuje procedurální příkazy jako jsou cykly, podmínky, procedury, funkce, atd.

PL/SQL. Jazyk SQL je jazykem deklarativním, který neobsahuje procedurální příkazy jako jsou cykly, podmínky, procedury, funkce, atd. PL/SQL Jazyk SQL je jazykem deklarativním, který neobsahuje procedurální příkazy jako jsou cykly, podmínky, procedury, funkce, atd. Rozšířením jazyka SQL o proceduralitu od společnosti ORACLE je jazyk

Více

Automatika na dávkování chemie automatic dosing

Automatika na dávkování chemie automatic dosing Automatika na dávkování chemie automatic dosing Swimmingpool Technology Autodos 700 Automatické dávkování Autodos Autodos automatic dosing Autodos 700 je jedno-kanálové zaøízení, pro mìøení a dávkování.

Více

Buderus System Logatherm Wps K

Buderus System Logatherm Wps K Buderus System Logatherm Wps K XV1100K(C)/XV1100SK(C) All rights reserverd. Any reprinting or unauthorized use wihout the written permission of Buderus System Logatherm Wps K Corporation, is expressly

Více

2N Lift8 Audio unit machine room

2N Lift8 Audio unit machine room 2N Lift8 Audio unit machine room Brief Manual Version 3.0.0 www.2n.cz The machine room audio unit is intended for installation in the machine room or as an intercom solution located in the reception.

Více

USER'S MANUAL FAN MOTOR DRIVER FMD-02

USER'S MANUAL FAN MOTOR DRIVER FMD-02 USER'S MANUAL FAN MOTOR DRIVER FMD-02 IMPORTANT NOTE: Read this manual carefully before installing or operating your new air conditioning unit. Make sure to save this manual for future reference. FMD Module

Více

Manuál k aplikaci WANAS

Manuál k aplikaci WANAS Manuál k aplikaci WANAS OBSAH 1 DŮLEŽITÉ INFORMACE PRO PRÁCI V NOVÉ VERZI APLIKACE WANAS.. 2 2 PROSTOROVÁ DATA... 3 2.1 POPIS HLAVNÍCH FUNKCÍ... 3 2.2 PRÁCE S DEFINIČNÍM BODEM SEGMENTU... 4 2.3 PRÁCE S

Více

Klávesy, které lze naprogramovat:

Klávesy, které lze naprogramovat: Příloha 4: PROGRAMOVATELNÉ KLÁVESY Programovatelné klávesy urychlují práci uživatele, neboť mu umožňují předdefinování kláves často používanými vstupy (např. telefonní číslo kanceláře atd.) Programovatelné

Více

Veritas Information Governance získejte zpět kontrolu nad vašimi daty

Veritas Information Governance získejte zpět kontrolu nad vašimi daty Veritas Information Governance získejte zpět kontrolu nad vašimi daty Josef Honc Veritas Presale, Avnet Technology Solutions Databerg Report Veritas EMEA Research Content Metodologie Průzkum provedený

Více

Energy vstupuje na trh veterinárních produktů Energy enters the market of veterinary products

Energy vstupuje na trh veterinárních produktů Energy enters the market of veterinary products Energy news2 1 Energy vstupuje na trh veterinárních produktů Energy enters the market of veterinary products Doposud jste Energy znali jako výrobce a dodavatele humánních přírodních doplňků stravy a kosmetiky.

Více

THE MARKING OF BOVINE ANIMALS IN THE CZECH REPUBLIC

THE MARKING OF BOVINE ANIMALS IN THE CZECH REPUBLIC THE MARKING OF BOVINE ANIMALS IN THE CZECH REPUBLIC Specimen of eartag used for bovine animal identification born before 1.5.2004. 1. Specimens of plastic eartag left ear logo plastic country code district

Více

KUZMA. Zákaznický ceník platný od května 2014 včetně DPH 21% I.Tonearms

KUZMA. Zákaznický ceník platný od května 2014 včetně DPH 21% I.Tonearms I.Tonearms Each tonearm is supplied with accessory kit (tools, screws, protractors, ) - see comments. Stogi S Stogi S* no armbase mounts on Stabi S directly 1 180 Stogi S no armbase silver wiring 1 800

Více

Algebraické výrazy Vypracovala: Mgr. Zuzana Kopečková

Algebraické výrazy Vypracovala: Mgr. Zuzana Kopečková Algebraické výrazy Vypracovala: Mgr. Zuzana Kopečková Název školy Název a číslo projektu Název modulu Obchodní akademie a Střední odborné učiliště, Veselí nad Moravou Motivace žáků ke studiu technických

Více

Matematika. Gymnázium, Praha 6, Arabská 16. předmět Programování, vyučující Tomáš Obdržálek. dokumentace ročníkového projektu.

Matematika. Gymnázium, Praha 6, Arabská 16. předmět Programování, vyučující Tomáš Obdržálek. dokumentace ročníkového projektu. Matematika Gymnázium, Praha 6, Arabská 16 předmět Programování, vyučující Tomáš Obdržálek dokumentace ročníkového projektu Albert Šerák, 1E květen 2014 Prohlašuji, že jsem jediným autorem tohoto projektu,

Více

CZ EN SK U-Smart. WiFi Plug Mini

CZ EN SK U-Smart. WiFi Plug Mini CZ EN SK U-Smart WiFi Plug Mini U-Smart / Android & ios CZ Vytvořte si účet EN Create an account SK Vytvorte si účet U-Smart WiFi Plug Mini CZ Klikněte na +. Klikněte na U-Smart WiFi Plug Mini. EN Click

Více

Model ver SYSTEM EXCLUSIVE KOMUNIKACE CHD Elektroservis

Model ver SYSTEM EXCLUSIVE KOMUNIKACE CHD Elektroservis Model 8-462 ver. 2.00 SYSTEM EXCLUSIVE KOMUNIKACE 2012 CHD Elektroservis 7 Obsah strana 1. System Exclusive komunikace............................. 3 2. Struktura SysEx Messages...............................

Více

Gymnázium, Brno, Elgartova 3

Gymnázium, Brno, Elgartova 3 Gymnázium, Brno, Elgartova 3 Šablona: III/2 Inovace a zkvalitnění výuky prostřednictvím ICT Název projektu: GE Vyšší kvalita výuky Číslo projektu: CZ.1.07/1.5.00/34.0925 Autor: Mgr. Petra Holzbecherová

Více

WL-5480USB. Quick Setup Guide

WL-5480USB. Quick Setup Guide Quick Setup Guide 1 Czech 7 Install Utility Software Note1: Before installing the utility software, DO NOT inserts the into your computer. If the adapter is inserted already, Windows will detect the adapter

Více

Lab 2.5.1: Basic PPP Configuration Lab

Lab 2.5.1: Basic PPP Configuration Lab Stáhněte si úlohu 4e_02_5_1_ppp.pdf z http://skola.bernkopf.cz Odkaz Předměty Cisco Materiály Úlohy Vypracujte podle připojených pokynů. Navíc do každého směrovače (router) a přepínače (switch) vložte

Více