Komunikační rozhraní počítačů

Rozměr: px
Začít zobrazení ze stránky:

Download "Komunikační rozhraní počítačů"

Transkript

1 Komunikační rozhraní počítačů Seznámení s HW V rámci předmětu bude využíván vývojový kit STM3240G-EVAL společnosti ST Mictoelectronics, jež je osazen mikrokontrolérem STM32F457IG. Cílem cvičení je osvojit si znalost moderních, všeobecně používaných, sběrnic osobních počítačů a vestavných (embedded) zařízení z pohledu jejich vývojáře. V rámci cvičení si student prakticky osvojí práci s danou sběrnicí. Použitý vývojový kit je na obrázku výše. Mikrokontrolér se k PC připojuje přes USB B konektor (na obrázku označen jako USB JTAG), pomocí kterého se programuje. Programátor je součástí PCB vývojového kitu a disponuje rozhraním JTAG a SWD. Z tohoto konektoru je možné vývojový kit i napájet. Dále je možné kit napájet i z externího síťového zdroje, zdroj napájení je třeba zvolit příslušným JUMPEREM na headeru označeném jako napájení. Pro napájení z USB konektoru je třeba použít propojku označenou STk, pro síťový napaječ použijte propojku PSU. GPIO LED označuje LED,

2 které je možné přímo řídit z aplikace a při vývoji je použijeme zejména pro účely ladění. Ladění je dále možné s použitím LCD. Pro naše aplikace dále využijeme konektory RJ-45 (označen jako Ethernet) a USB FS pro vývoj USB zařízení. LED je použita pro kontrolu připojení USB kabelu do konektoru. Pro práci s mikrokontrolérem použijeme především dva dokumenty datasheet (popisuje elektrickou a hardwarovou část) a reference manual, který slouží programátorovi jako příručka pro práci s mikrokontrolérem. Dále je vhodné nahlédnout do schématu zapojení vývojového kitu a dokumentace ke knihovně pro ovládání periferií (GPIO, USB, USART, DMA, apod.) mikrokontroléru. Odkazy Vývojový kit: Stránky společnosti STM:

3 Komunikační rozhraní počítačů Založení projektu Spusťte vývojové prostředí (IDE) IAR Embedded Workbench. Prostředí je volně šiřitelná verze, kterou je možné používat pro nekomerční účely. Jediné omezení této verze spočívá v nemožnosti generovat spustitelný kód větší než 32 kb, což je pro naši aplikaci zcela postačující. Založte nový projekt volbou položky Project->Create New Project, budeme programovat v jazyce C a necháme si vygenerovat funkci main.

4 Uložte projekt do adresáře D:\USER_DATA\A4M38KRP\<login>\<projekt>\<nazev >.ewp, název zvolte dle libosti. Projekt je vytvořen, dále potřebujeme nastavit cílový procesor a parametry překladu programu. To učiníme pomocí volby Project->Options Zvolte cílový procesor, ve verzi 6.4 zvolte podobný procesor, tedy STM32F405VG. Volbou procesoru zvolíme vhodný překladač a zároveň nastavení linkeru pro definici paměťových rozsahů.

5 Dále zvolíme Library: Normal, jedná se o hloubku implementace standardní knihovny C, především funkcí pro výpis. Vzhledem ke skutečnosti, že funkce printf je poměrně výpočetně náročná a na použitém MCU může dosti zdržovat, volíme úspornější variantu, která navíc ušetří místo v programové paměti. Velmi důležité je nastavení CMSIS, jedná se o inicializaci MCU prostřednictvím ASM souboru. Tento kód zajistí především volání funkce main po startu MCU a definuje vektor jednotlivých přerušení. My použijeme vlastní CMSIS, který získáme z webových stránek výrobce MCU. Z vlastního souboru (CMSIS) jednoduše vyčteme názvy přerušení, která jsou definována v příslušném vektoru.

6 Projekt je nakonfigurován, než však přejdeme k samotnému programování, přidáme do programu potřebné soubory. Jedná se o knihovnu pro obsluhu periferií a jádro CMSIS, obé je možno pořídit na webových stránkách výrobce mikrokontroléru, pro potřeby cvičení budou soubory dodány cvičícím. V projektu vytvořte novou skupinu souborů pomocí volby Project->Add Group a přidejte do ní zdrojové soubory z adresáře STM32F4xx_StdPeriph_Driver/src a soubory CMSIS/Device/ST/STM32F4xx/Source/Templates/iar/ startup_stm32f4xx.s CMSIS/Device/ST/STM32F4xx/Source/Templates/ system_stm32f4xx.c Pravým tlačítkem myši nad danou skupinou souborů můžete dále přidávat soubory a podskupiny.

7 Komunikační rozhraní počítačů První program Nyní si představíme první program, ve kterém inicializujeme mikrokontrolér, nastavíme důležité periferie a demonstrujeme funkci na blikání LED diodami. Nejprve je třeba provést konfiguraci hodin mikroprocesoru a jeho periferií. To je provedeno v automaticky vygenerovaném souboru system_stm32f4xx.c, který jste již do projektu zahrnuli. Pro vlastní nastavení hodin může být tento soubor znovu vygenerován pomocí příslušné utility ze stránek výrobce mikrokontroléru. Z pedagogických důvodů zde ukážeme ruční konfiguraci hodin mikrokontroléru. Pro práci s mikrokontrolérem použijeme knihovnu periferií dodanou výrobcem. Pro pochopení struktury je ke knihovně dodán manuál, případně je možné používat komentovaný zdrojový kód a referenční příručku. Tento návod bude používat funkce bez jejich podrobnějších vysvětlení. V referenční příručce (Reference Manual) procesoru jsou hodiny popsány ve Figure 9. Clock Tree. Mikrokontrolér může být časován oscilátorem řízeným interním nebo externím krystalem. Externí krystal často bývá stabilnější, protože je vystárlý a díky větším rozměrům kmitá na nižším harmonickém kmitočtu. Sběrnici procesoru je možné časovat přímo kmitočtem oscilátoru řízeného interním (HSI) nebo externím (HSE) krystalem, případně je možné kmitočet upravit pomocí fázového závěsu (PLL). Od kmitočtu sběrnice procesoru (AHB) je odvozeno časování jednotlivých periferií, které jsou připojeny na sběrnice APB1 a APB2. Další podstatný kmitočet je PLL48CK, který musí být 48 MHz. Tento kmitočet je určen pro časování USB periferie. Ve schématu je vyznačeno nastavení hodin, které použijeme v naší aplikaci. Nastavení hodin tedy znamená nastavit jednotlivé multiplexery, děličky a PLL.

8 V referenční příručce je možné nalézt vzorec pro výpočet jednotlivých hodin. Kmitočet fázověho závěsu je dán vztahem na jehož základě je možné určit kmitočet AHB sběrnice a kmitočet pro periferii USB Jednotlivé konstanty,, a určují nastavení PLL. Vstupní frekvenci PLL je třeba zvolit na multiplexerem jako frekvenci odvozenou z externího krystalu. Dále ještě nastavení sběrnice AHB a APB Konstanty a určují děličky pro časování sběrnic pro periferie. Pro správnou funkci přidáme hlavičkový soubor #include "stm32f4xx_conf.h", který vkládá všechny hlavičkové soubory periferií. Zdrojový kód programu začneme voláním funkce RCC_HSEConfig s příslušným parametrem, který nastaví použití oscilátoru taktovaného externím krystalem. RCC_HSEConfig(RCC_HSE_ON); Dále je třeba nastavit děličky, které určí kmitočet sběrnic jednotlivých periferií. Jedná se o nastavení konstant a, přičemž je třeba respektovat maximální povolené frekvence jednotlivých sběrnic. Pro AHB je to 168 MHz, pro APB1 42 MHz a pro APB2 84 MHz. Parametry jednotlivých funkcí je možné nalézt v komentáři v souboru stm32f4xx_rcc.c. RCC_HCLKConfig(??? ); RCC_PCLK1Config(??? ); RCC_PCLK2Config(??? ); Dále je třeba nastavit PLL, pro určení konstant použijeme výše uvedené vzorce a opět se podíváme na komentář funkce. RCC_PLLConfig(RCC_PLLSource_HSE,???,???,???,???);

9 Posléze povolíme PLL a počkáme na jeho stabilizaci. RCC_PLLCmd(ENABLE); while(rcc_getflagstatus(rcc_flag_pllrdy) == 0) ; Nakonec připojíme PLL na sběrnici AHB RCC_SYSCLKConfig(RCC_SYSCLKSource_PLLCLK); Kmitočet krystalu určíme z jeho popisku, o který krystal se jedná zjistíme z elektrického schématu vývojového kitu. Dále je třeba nastavit periferie, které bude naše aplikace používat. Globálně je třeba periferie povolit. Pro to slouží funkce RCC_AHB1PeriphClockCmd, RCC_AHB2PeriphClockCmd, RCC_AHB3PeriphClockCmd, RCC_APB1PeriphClockCmd, RCC_APB2PeriphClockCmd. Popis jejich parametrů je opět možné nalézt v příslušném zdrojovém souboru, tedy stm32f4xx_rcc.c. Potřebujeme povolit periferie vstupně výstupních portů GPIOC, GPIOG a GPIOI, na kterých jsou připojeny LED, dále pak TIM2, což je časovač, který budeme využívat v naší aplikaci a dále GPIOA, což je brána, na které jsou piny USB periferie a samotná periferie USB pro komunikaci na Full-Speed OTG_FS. Volání bude vypadat následovně RCC_????PeriphClockCmd(???, ENABLE); Dále je třeba nastavit výstupní piny pro LED, na každý pin připojíme pull-up odpor a port nastavíme jako výstupní. Jedná se o piny G6, G8, I9 a C7. GPIO_InitTypeDef GPIO_InitStructure; GPIO_InitStructure.GPIO_Pin =???; GPIO_InitStructure.GPIO_Mode = GPIO_Mode_OUT; GPIO_InitStructure.GPIO_OType = GPIO_OType_PP; GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_UP; GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz; GPIO_Init(???, &GPIO_InitStructure); Dále už pokračuje program v nekonečné smyčce, ze které nesmí nikdy vyskočit. LED si můžeme zkusit rozsvítit např. pomocí funkce

10 GPIO_WriteBit. V nekonečné smyčce potom můžeme pomocí aktivního čekání for (i=0; i<???; i++) for (j=0; j<???; j++) ; LED rozblikat. Aktivní čekání však zatěžuje procesor a ten nemůže provádět jiné operace, proto je vhodné v podobných aplikacích použít časovač, který nakonfigurujeme při inicializaci, posléze se bude pravidelně spouštět obsluha přerušení, ve které vždy změníme stav LED. Pro takovou to funkci nestačí pouze spustit časovač, ale zároveň je třeba nakonfigurovat přerušení. Pro přerušení naplníme strukturu NVIC_InitTypeDef NVIC_InitStructure; a zavoláme funkci NVIC_Init. Konfiguraci časovače provedeme pobně jako konfiguraci GPIO nastavením struktury TIM_TimeBaseInitTypeDef TIM_TimeBaseStructure; a voláním funkce TIM_TimeBaseInit. Dále již jen stačí povolit dané přerušení a spustit časovač TIM_ITConfig(???,???, ENABLE); TIM_Cmd(???, ENABLE); Implementace obsluhy přerušení od časovače probíhá ve funkci, jejíž název nalezneme v souboru startup_stm32f4xx.s. Hledáme IRQ Handler pro zvolený časovač. Funkce nemá žádný parametr a sama je typu void. V obluze přerušení je třeba krom změny stavu LED ještě ověřit, o jaké přerušení se týká (v našem případě jediné, nastavené ve funci TIM_ITConfig). Vynulováním určitého flagu je třeba procesoru oznámit, že jsme přerušení obsloužili a program může dále pokračovat v místě, kde byl přerušen. if (TIM_GetITStatus(???,???)!= RESET) { } TIM_ClearITPendingBit(???,???); // tady obsluha preruseni

FILIP SCHWANK. Katedra měření, listopad 2017

FILIP SCHWANK. Katedra měření, listopad 2017 FILIP SCHWANK Katedra měření, listopad 2017 CO JE TO MBED Knihovna pro programování mikrokontrolérů Jazyk C++ Jednoduché funkce dělají složité věci Od řidiče auta až po jeho mechanika JAK NA TO Registrovat

Více

Vývojové kity Mega48,

Vývojové kity Mega48, Vývojové kity Mega48, Mega48 Mega48X a Mega328 Ucelená řada ada vývojových kitů s obvody ATmega48 a ATmega328 je vhodná jak pro výukové účely ely a seznámení se s funkcemi mikrokontrolér mikrokontrolérů,

Více

1. MIKROPROCESOR ATMEGA A/D PŘEVODNÍK MÓDY PŘEVODNÍKU Single Conversion Mode Auto Triggering Start...

1. MIKROPROCESOR ATMEGA A/D PŘEVODNÍK MÓDY PŘEVODNÍKU Single Conversion Mode Auto Triggering Start... 1. MIKROPROCESOR ATMEGA 8535... 2 1.1 A/D PŘEVODNÍK... 2 1.2 MÓDY PŘEVODNÍKU... 3 1.2.1 Single Conversion Mode... 3 1.2.2 Auto Triggering Start... 4 1.2.3 Free Running Mode... 4 1.3 VÝBĚR MĚŘENÉHO KANÁLU...

Více

PŘÍSTUP. Docházkový terminál itouch. Produktový list : DT - itouch

PŘÍSTUP. Docházkový terminál itouch. Produktový list : DT - itouch Docházkový terminál itouch Vlastní hardware terminálu obsahuje čtyř jádrový procesor a 1GB RAM a má tedy velkou výkonovou rezervu pro pozdější aktualizace softwaru a integrace nových funkcí. Pro ukládání

Více

PŘÍLOHY. PRESTO USB programátor

PŘÍLOHY. PRESTO USB programátor PŘÍLOHY PRESTO USB programátor 1. Příručka PRESTO USB programátor Popis indikátorů a ovládacích prvků Zelená LED (ON-LINE) - PRESTO úspěšně komunikuje s PC Žlutá LED (ACTIVE) - právě se komunikuje s uživatelskou

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1.

Více

Zařízení pro měření teploty, atmosférického tlaku a nadmořské výšky

Zařízení pro měření teploty, atmosférického tlaku a nadmořské výšky FREESCALE TECHNOLOGY APPLICATION 2012-2013 Zařízení pro měření teploty, atmosférického tlaku a nadmořské výšky Jméno: Libor Odstrčil Ročník: 3. Obor: IŘT Univerzita Tomáše Bati ve Zlíně, Fakulta aplikované

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Použití programu uscope k simulaci výukového přípravku pro předmět PMP

Použití programu uscope k simulaci výukového přípravku pro předmět PMP Použití programu uscope k simulaci výukového přípravku pro předmět PMP Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl

Více

Programujeme v softwaru Statistica

Programujeme v softwaru Statistica Programujeme v softwaru Statistica díl třetí Newsletter Statistica ACADEMY Téma: Programování, makra, skripty Typ článku: Návody V předchozích článcích (díl první, díl druhý) jsme si osvětlili základní

Více

Ing. Michal Martin. Spojení PLC CLICK s NA-9289

Ing. Michal Martin. Spojení PLC CLICK s NA-9289 Propojení PLC CLICK s NA-9289 Autor: Ing. Michal Martin Copyright TECON spol. s r. o., Vrchlabí, Česká republika Tato publikace prošla jen částečnou jazykovou korekturou. Tato publikace vznikla na základě

Více

Firmware USBasp pro modul AVRUSB. Milan Horkel. Parametr Hodnota Poznámka. Rozhraní USB Low Speed. Procesor ATmega8 ATmega88 Varianty překladu

Firmware USBasp pro modul AVRUSB. Milan Horkel. Parametr Hodnota Poznámka. Rozhraní USB Low Speed. Procesor ATmega8 ATmega88 Varianty překladu Firmware USBasp pro modul AVRUSB Milan Horkel Firmware USBasp umožňuje použít modul AVRUSB jako ISP programátor procesorů řady AVR pod všemi běžnými operačními systémy. 1. Technické parametry Parametr

Více

PVKpro vývojový kit s programátorem pro mikrokontrolér PIC16F84 Připojení k PC: paralelní port Uživatelská příručka

PVKpro vývojový kit s programátorem pro mikrokontrolér PIC16F84 Připojení k PC: paralelní port Uživatelská příručka PVKpro vývojový kit s programátorem pro mikrokontrolér PIC16F84 Připojení k PC: paralelní port Uživatelská příručka ASIX s.r.o., Staropramenná 4, 150 00 Praha 5 - Smíchov, Tel.: 257 312 378, fax: 257 329

Více

www.snailinstruments.com www.picaxe.cz www.hobbyrobot.cz

www.snailinstruments.com www.picaxe.cz www.hobbyrobot.cz Začínáme www.snailinstruments.com www.picaxe.cz www.hobbyrobot.cz Co všechno budete potřebovat: počítač třídy PC, vybavený operačním systémem Windows (2000 až W7) mikrokontrolér PICAXE (zde pracujeme s

Více

APLIKACE MIKROKONTROLÉRŮ PIC32MX

APLIKACE MIKROKONTROLÉRŮ PIC32MX David Matoušek APLIKACE MIKROKONTROLÉRÙ PIC32MX Praha 2014 David Matoušek Aplikace mikrokontrolérù PIC32MX Recenzent Bohumil Brtník Bez pøedchozího písemného svolení nakladatelství nesmí být kterákoli

Více

Neřízené usměrňovače reálné vlastnosti

Neřízené usměrňovače reálné vlastnosti Počítačové cvičení BNEZ 1 Neřízené usměrňovače reálné vlastnosti Úkol 1: Úkol 2: Úkol 3: Úkol 4: Úkol 5: Pomocí programu OrCAD Capture zobrazte voltampérovou charakteristiku diody 1N4007 pro rozsah napětí

Více

MSP 430F1611. Jiří Kašpar. Charakteristika

MSP 430F1611. Jiří Kašpar. Charakteristika MSP 430F1611 Charakteristika Mikroprocesor MSP430F1611 je 16 bitový, RISC struktura s von-neumannovou architekturou. Na mikroprocesor má neuvěřitelně velkou RAM paměť 10KB, 48KB + 256B FLASH paměť. Takže

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV AUTOMATIZACE A MĚŘICÍ TECHNIKY ŘÍZENÍ KROKOVÝCH MOTORKŮ ZÁKLADY ROBOTIKY - SEMESTRÁLNÍ PROJEKT AUTOR PRÁCE VEDOUCÍ

Více

Vývojový kit osazený procesorem ARM - Cookie

Vývojový kit osazený procesorem ARM - Cookie Vývojový kit osazený procesorem ARM - Cookie Pro edici NuMicro 1.0 Web: www.coocox.org Fórum: forum.coocox.org Technická podpora: master@coocox.com Marketing: market&coocox.com 1. Úvod Cookie je open-source

Více

CA21 PŘÍRUČKA UŽIVATELE

CA21 PŘÍRUČKA UŽIVATELE CA21 PŘÍRUČKA UŽIVATELE CA21 je komunikační adaptér umožňující propojení sítí automatů a periferií MICROPEL s PC pomocí rozhraní USB příručka uživatele edice 03.2009 2. verze dokumentu pro firmware 1.080

Více

Úvod do mobilní robotiky AIL028

Úvod do mobilní robotiky AIL028 md at robotika.cz http://robotika.cz/guide/umor07/cs 11. října 2007 1 Definice Historie Charakteristiky 2 MCU (microcontroller unit) ATmega8 Programování Blikání LEDkou 3 Kdo s kým Seriový port (UART)

Více

MIKROPROCESOROVÁ TECHNIKA 9 Událostní systém 9.1 Události Síť ERN Časování událostí Filtrace

MIKROPROCESOROVÁ TECHNIKA 9 Událostní systém 9.1 Události Síť ERN Časování událostí Filtrace Bohumil BRTNÍK, David MATOUŠEK MIKROPROCESOROVÁ TECHNIKA Praha 2011 Tato monografie byla vypracována a publikována s podporou Rozvojového projektu VŠPJ na rok 2011. Bohumil Brtník, David Matoušek Mikroprocesorová

Více

Hard r wa w ro r v o á ko n igu ig ra c řa ř dy d 100V a 200V

Hard r wa w ro r v o á ko n igu ig ra c řa ř dy d 100V a 200V Hardwarová konfigurace řady 100V a 200V Hardwarová konfigurace řady 100V a 200V Abstrakt Tento aplikační postup ukazuje na příkladu CPU 214-2BM02 hardwarovou konfiguraci VIPA CPU řad 100V a 200V ve vývojovém

Více

Programovatelný kanálový procesor ref. 5179

Programovatelný kanálový procesor ref. 5179 Programovatelný kanálový procesor Programovatelný kanálový procesor je určen ke zpracování digitálního (COFDM, QAM) nebo analogového TV signálu. Procesor může být naprogramován jako kanálový konvertor

Více

Téma 8: Konfigurace počítačů se systémem Windows 7 IV

Téma 8: Konfigurace počítačů se systémem Windows 7 IV Téma 8: Konfigurace počítačů se systémem Windows 7 IV 1 Teoretické znalosti V tomto cvičení budete pracovat se správou vlastností systému, postupně projdete všechny karty tohoto nastavení a vyzkoušíte

Více

Aplikace Embedded systémů v Mechatronice. Michal Bastl A2/713a

Aplikace Embedded systémů v Mechatronice. Michal Bastl A2/713a Aplikace Embedded systémů v Mechatronice Aplikace Embedded systémů v Mechatronice Obsah přednášky: Opakování Datasheet GPIO piny TRISx/ANSELx registr LATx registr PORTx registr Ukázky použití Hardware

Více

CZ.1.07/1.1.14/01.0032 Inovace výuky v Písku a okolí 2012-2014. Pracovní list. Automatizační cvičení. Konfigurace inteligentní instalace Ego-n

CZ.1.07/1.1.14/01.0032 Inovace výuky v Písku a okolí 2012-2014. Pracovní list. Automatizační cvičení. Konfigurace inteligentní instalace Ego-n Pracovní list Automatizační cvičení Konfigurace inteligentní instalace Ego-n Stmívání zářivkového svítidla Vypracoval žák Jméno, příjmení Datum vypracování Datum odevzdání SPŠ a VOŠ Písek, Karla Čapka

Více

PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 7

PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 7 UNIVERZITA TOMÁŠE BATI VE ZLÍNĚ FAKULTA APLIKOVANÉ INFORMATIKY PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 7 Využití knihoven podprogramů, displej Jan Dolinay Petr Dostálek Zlín 2013 Tento studijní materiál vznikl

Více

Programátor PICPGR3 pod Windows XP. Martin Kákona, Petr Borsodi, Milan Horkel

Programátor PICPGR3 pod Windows XP. Martin Kákona, Petr Borsodi, Milan Horkel Programátor PICPGR3 pod Windows XP Martin Kákona, Petr Borsodi, Milan Horkel Programátor PICPGR3 je možné úspěšně použít i s ovládacím programem WinPic pod operačním systémem Windows XP. Zde je návod,

Více

Cílem dnešní lekce je obohatit náš dosavadní systém a připravit se na připojení do cloudu

Cílem dnešní lekce je obohatit náš dosavadní systém a připravit se na připojení do cloudu Minule jsme připojili základní komponenty LED a tlačítko a prošli základy programování v IDE Arduino. Dnes to rozšíříme o další komponenty, které se nám v IoT projektech mohou hodit LCD DISPLEJ a SÉRIOVOU

Více

Osvětlení modelového kolejiště Analog

Osvětlení modelového kolejiště Analog A V1.0 Osvětlení modelového kolejiště Analog Popisovaný elektronický modul simuluje činnost veřejného osvětlení pro různé druhy svítidel a osvětlení budov s nepravidelným rozsvěcením jednotlivých světel.

Více

ETC Embedded Technology Club setkání 3, 3B zahájení třetího ročníku

ETC Embedded Technology Club setkání 3, 3B zahájení třetího ročníku ETC Embedded Technology Club setkání 3, 3B 9.10. 2018 zahájení třetího ročníku Katedra měření, Katedra telekomunikací,, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 3, 3B 23.10.2018, ČVUT- FEL,

Více

Výuková laboratorní sestava seminář pro učitele

Výuková laboratorní sestava seminář pro učitele Výuková laboratorní sestava seminář pro učitele Programování kitů v ARM MBED Bc. Petr Kůrka (kurkape6@fel.cvut.cz) Katedra měření Fakulta elektrotechnická České vysoké učení technické v Praze Možnosti

Více

Elektronická stavebnice: Deska s jednočipovým počítačem

Elektronická stavebnice: Deska s jednočipovým počítačem Elektronická stavebnice: Deska s jednočipovým počítačem Modul s jednočipovým počítačem Modul s řídícím jednočipovým počítačem je centrálním prvkem stavebnice. Jeho konstrukce umožňuje přímé připojení do

Více

PRESTO. USB programátor. Uživatelská příručka

PRESTO. USB programátor. Uživatelská příručka PRESTO USB programátor Uživatelská příručka OBSAH 1. PRESTO 3 1.1. Použití 3 2. Obsah dodávky 3 3. Instalace 3 3.1. Instalace ovladačů 3 3.2. Instalace programu UP 4 4. Popis programovacího konektoru 5

Více

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod... 2 2. Xilinx PicoBlaze... 2 2.1 Architektura procesoru...

Více

Modul LCD displeje se čtyřmi tlačítky. Milan Horkel

Modul LCD displeje se čtyřmi tlačítky. Milan Horkel LCDL4P0A Modul LCD displeje se čtyřmi tlačítky Milan Horkel Modul LCDL4P obsahuje dvouřádkový LCD displej s obvyklým Hitachi řadičem a čtveřici tlačítek. Používá se jako univerzální uživatelský interfejs

Více

Programování kitu F0- Lab v C++ pomocí on line IDE mbed. Klub ETC, ČVUT FEL, kat. měření. ETC , kat. měření, ČVUT, FEL, Praha

Programování kitu F0- Lab v C++ pomocí on line IDE mbed. Klub ETC, ČVUT FEL, kat. měření. ETC , kat. měření, ČVUT, FEL, Praha Programování kitu F0- Lab v C++ pomocí on line IDE mbed Klub ETC, ČVUT FEL, kat. měření 1 Další použití desky F0 - Lab Procesor firmy STMicroelectronics STM32F042F6P6 s jádrem ARM Cortex M0, stejný jako

Více

uz80 Embedded Board ver. 1.0 uz80 Vestavná Řídící Deska ver. 1.0

uz80 Embedded Board ver. 1.0 uz80 Vestavná Řídící Deska ver. 1.0 uz80 Embedded Board ver. 1.0 uz80 Vestavná Řídící Deska ver. 1.0 Jednodeskový mikroprocesorový řídící systém s CPU Zilog Z84C15 nebo Toshiba TMPZ84C015: Deska obsahuje: 1. CPU Z84C15 (Zilog) nebo TMPZ84C015

Více

DIGI Timer 8 8 kanálové stopky se záznamem dat

DIGI Timer 8 8 kanálové stopky se záznamem dat www.dhservis.cz 8 kanálové stopky se záznamem dat Úvod Digi Timer 8 jsou osmikanálové jednoúčelové stopky, určené k časování po pěti minutových intervalech. Sdružují v sobě osm časovačů, z nichž každý

Více

Osvětlení modelového kolejiště Analog / DCC

Osvětlení modelového kolejiště Analog / DCC D V2.0 Osvětlení modelového kolejiště Analog / DCC Popisovaný elektronický modul simuluje činnost veřejného osvětlení pro různé druhy svítidel a osvětlení budov s nepravidelným rozsvěcením jednotlivých

Více

B Series Waterproof Model. IP Kamera. Uživatelský manuál

B Series Waterproof Model. IP Kamera. Uživatelský manuál B Series Waterproof Model IP Kamera Uživatelský manuál Obsah 1 ÚVODEM... 3 2 VZHLED A ROZHRANÍ... 3 3 PŘIPOJENÍ KE KAMEŘE Z VAŠÍ LAN SÍTĚ... 4 4 PŘIPOJENÍ KAMERY PŘES WAN ROZHRANÍ... 8 5 DALŠÍ NASTAVENÍ...

Více

KONEKTOROVÝ BLOK PRO BASLER ACE

KONEKTOROVÝ BLOK PRO BASLER ACE KONEKTOROVÝ BLOK PRO BASLER ACE Katalogový list 02/2018 ATEsystem s.r.o. Studentská 6202/17 708 00 Ostrava-Poruba Česká republika M +420 595 172 720 E atesystem@atesystem.cz W www.atesystem.cz INFORMACE

Více

Arduino Martin Friedl

Arduino Martin Friedl Arduino Martin Friedl 1 Obsah Materiály Vlastnosti Programování Aplikace 2 Co je to Arduino? Arduino je otevřená elektronická platforma, založená na uživatelsky jednoduchém hardware a software. Arduino

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 1.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 06 Ver.1.10 J. Zděnek,

Více

Uživatelská příručka

Uživatelská příručka Deska sběru dat Uživatelská příručka Vydání 2.1 Počet stran: 8 1 Obsah: 1 Úvod... 3 2 Obchodní informace... 3 2.1 Příslušenství... 3 2.2 Informace o výrobci... 3 3 Popis zařízení... 4 3.1 Popis funkce...

Více

A0M38SPP - Signálové procesory v praxi - přednáška 10 2

A0M38SPP - Signálové procesory v praxi - přednáška 10 2 GPIO (konfigurace vstupu, výstupu, alt. funkce) GP timers Core timers Watchdog timer Rotary counter Real time clock Keypad interface SD HOST (MMC, SD interface) ATAPI (IDE) A0M38SPP - Signálové procesory

Více

OBSAH.2 Ú VOD.3 POPIS VÝ ROBKU.4 PŘENOS KONFIGURACE DO VYSÍLAČ E TSM/Ú STŘEDNY AMOS.5 UPGRADE EPROM Z VERZE NIŽ Š Í NEŽ 4.00.7 POZNÁ MKY.

OBSAH.2 Ú VOD.3 POPIS VÝ ROBKU.4 PŘENOS KONFIGURACE DO VYSÍLAČ E TSM/Ú STŘEDNY AMOS.5 UPGRADE EPROM Z VERZE NIŽ Š Í NEŽ 4.00.7 POZNÁ MKY. Obsah Obsah OBSAH...2 Ú VOD...3 POPIS VÝROBKU...4 PŘENOS KONFIGURACE DO VYSÍLAČ E TSM/Ú STŘEDNY AMOS...5 UPGRADE EPROM Z VERZE NIŽ ŠÍ NEŽ 4.00...6 KONTROLA NASTAVENÍ HW KLÍČ E...7 POZNÁ MKY...8 2 Ú vod

Více

BIOS. Autor: Bc. Miroslav Světlík

BIOS. Autor: Bc. Miroslav Světlík BIOS Autor: Bc. Miroslav Světlík Škola: Hotelová škola, Obchodní akademie a Střední průmyslová škola Teplice, Benešovo náměstí 1, příspěvková organizace Kód: VY_32_INOVACE_ICT_837 1. 11. 2012 1 1. BIOS

Více

EC Motor. IO Modul EC200. EC200 Int. EC200 Ext. Verze 1.20, revize PMControl s.r.o.

EC Motor. IO Modul EC200. EC200 Int. EC200 Ext. Verze 1.20, revize PMControl s.r.o. EC Motor IO Modul EC200 EC200 Int. EC200 Ext. Verze 1.20, revize 2010-07-27 PMControl s.r.o. 1. Popis IO modulu EC200 IO modul EC200 je rozšiřující interface pro motory s vestavěnou elektronikou řady PMC

Více

ATAVRDRAGON-návod k použití

ATAVRDRAGON-návod k použití ATAVRDRAGON-návod k použití Firma ATMEL představila skutečně levný, a tím pádem všem dostupný, ladící a vývojový prostředek pro práci s mikrokontroléry řady ATtiny a ATmega s názvem AVR Dragon. Dle sdělení

Více

MPASM a IDE pro vývoj aplikací MCU (Microchip)

MPASM a IDE pro vývoj aplikací MCU (Microchip) MPASM a IDE pro vývoj aplikací MCU (Microchip) MPLAB, vývojové prostředí a jeho instalace; Založení nového projektu a jeho základní nastavení; Zásady tvorby a základní úprava formuláře zdrojového kódu;

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ BROB 2015 VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ OBOR: AUTOMATIZACE A MĚŘÍCÍ TECHNIKA ROBOTIKA B5. Návrh rozšiřující desky pro modul s STM32 pro multikoptéru

Více

TRONIC řada 2000 Regulátor klimatizační jednotky T2008F. Komunikační modul KOM USB. Uživatelská a referenční příručka SYSTÉM TRONIC 2000

TRONIC řada 2000 Regulátor klimatizační jednotky T2008F. Komunikační modul KOM USB. Uživatelská a referenční příručka SYSTÉM TRONIC 2000 Komunikační modul KOM USB Uživatelská a referenční příručka SYSTÉM TRONIC 2000 TRONIC CONTROL 2008 Ing. Pavel Lašťovka verze: 1.0 říjen 2008 1 Historie revizí: 1.0 základní verze 2 Obsah: 1 Výrobek...4

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Speciální obvody a jejich programování v C 2. díl České vysoké učení technické Fakulta elektrotechnická Ver.1.10 J. Zděnek, 2017 Compare Unit jiné řešení Následující

Více

LED_007.c Strana: 1/5 C:\Michal\AVR\Výukové programy\archiv\ Poslední změna: 4.10.2011 8:01:48

LED_007.c Strana: 1/5 C:\Michal\AVR\Výukové programy\archiv\ Poslední změna: 4.10.2011 8:01:48 LED_007.c Strana: 1/5 Nyní již umíme používat příkazy k větvení programu (podmínky) "if" a "switch". Umíme také rozložit program na jednoduché funkce a používat cyklus "for". Co se týče cyklů, zbývá nám

Více

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů Zdeněk Oborný Freescale 2013 1. Obecné vlastnosti Cílem bylo vytvořit zařízení, které by sloužilo jako modernizovaná náhrada stávající

Více

Principy komunikace s adaptéry periferních zařízení (PZ)

Principy komunikace s adaptéry periferních zařízení (PZ) Principy komunikace s adaptéry periferních zařízení (PZ) Několik možností kategorizace principů komunikace s externími adaptéry, např.: 1. Podle způsobu adresace registrů, které jsou součástí adaptérů.

Více

Stavebnice stanice hlasatele PVA-CSK PAVIRO

Stavebnice stanice hlasatele PVA-CSK PAVIRO Konferenční systémy Stavebnice stanice hlasatele PVA-CSK PAVIRO Stavebnice stanice hlasatele PVA-CSK PAVIRO www.boschsecurity.cz Stavebnice stanice hlasatele PVA-CSK je deska s tištěnými obvody (PCB) stanice

Více

Převodník PRE 10/20/30

Převodník PRE 10/20/30 Převodník PRE 10/20/30 PRE10/20/30 slouží pro připojení zařízení Elektrobock (centrální jednotka PocketHome, termostatu PT41 aj.) do sítě Ethernet. Připojené zařízení je tak možno ovládat z libovolného

Více

MCA 168.1,2. Řídící moduly identifikačního systému APS 400. Uživatelská příručka

MCA 168.1,2. Řídící moduly identifikačního systému APS 400. Uživatelská příručka MCA 1681,2 Řídící moduly identifikačního systému APS 400 Uživatelská příručka 2004 2015, TECHFASS sro, Věštínská 1611/19, 153 00 Praha 5, wwwtechfasscz, techfass@techfasscz (vydáno dne: 2015/07/23, platné

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Sériový programátor SI Prog

Sériový programátor SI Prog Sériový programátor SI Prog V poslední době dostáváme množství žádostí o uveřejnění jednoduchého programátoru. Dnešním příspěvkem snad uspokojíme alespoň část zájemců, protože bude popsán jednoduchý programátor

Více

Programování PICAXE18M2 v Assembleru

Programování PICAXE18M2 v Assembleru Nastavení programming editoru PICAXE PROGRAMMING EDITOR 6 Programování PICAXE18M2 v Assembleru Nastavit PICAXE Type PICAXE 18M2(WJEC-ASSEMBLER, stejně tak nastavit Simulation Pokud tam není, otevřeme přes

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

Programátor procesorů PIC. Milan Horkel

Programátor procesorů PIC. Milan Horkel PIPGR0 Programátor procesorů PI Milan Horkel Programátor PIPGR je malý vývojový programátor pro programování procesorů PI firmy MIROHIP. Umožňuje programované zařízení spustit bez odpojování programátoru

Více

Signalizace a ovládací prvky. Konektory a připojení

Signalizace a ovládací prvky. Konektory a připojení PH-WEB je zařízení, sloužící ke správě jednotlivých prvků systému PocketHome přes webové rozhraní. Z libovolného místa na světě lze, prostřednictvím sítě Internet, zjišťovat informace o jednotlivých prvcích

Více

Konferenční zařízení. Cíl projektu. Vybavení. Jak jsem postupoval. Projekt Nekoř 2009 Jan Sixta, jan.16@seznam.cz

Konferenční zařízení. Cíl projektu. Vybavení. Jak jsem postupoval. Projekt Nekoř 2009 Jan Sixta, jan.16@seznam.cz Konferenční zařízení Projekt Nekoř 2009 Jan Sixta, jan.16@seznam.cz Cíl projektu Cílem projektu bylo vytvořit zařízení zvané Konferenční časomíra. Zařízení by mělo umožňovat (nejen) přednášejícím na konferencích

Více

Téma 10: Správa hardwarových zařízení a ovladačů II

Téma 10: Správa hardwarových zařízení a ovladačů II Téma 10: Správa hardwarových zařízení a ovladačů II 1 Teoretické znalosti V tomto cvičení budete detailněji pracovat se Správcem zařízení a nastavením ovladačů zařízení hardware. Správce zařízení S tímto

Více

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná.

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná. Interface LPG / CNG Bluetooth ProjektTECH Instrukce k instalaci a konfiguraci zařízení v1.0 cz U rozhraní bluetooth není instalace ovladače potřebná. (párovací kód: 1234) 1. Požadavky na hardware : - PC

Více

Adresovatelné RGB LED

Adresovatelné RGB LED České vysoké učení technické v Praze Fakulta elektrotechnická Katedra měření Dokumentace Adresovatelné RGB LED Zpracoval Ondřej Hruška 10. dubna 2017 1 Základní informace Adresovatelné (číslicově řízené)

Více

EduKit84. Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip. Uživatelská příručka

EduKit84. Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip. Uživatelská příručka EduKit84 Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip Uživatelská příručka OBSAH 1. EduKit84 3 2. Popis zařízení 3 3. Provozní režimy 3 4. Mikrokontrolér PIC16F84A 4 5. Tabulka

Více

NÁVOD K OBSLUZE. Obj. č.: 99 96 35 Zkrácený návod k obsluze

NÁVOD K OBSLUZE. Obj. č.: 99 96 35 Zkrácený návod k obsluze NÁVOD K OBSLUZE Obj. č.: 99 96 35 Zkrácený návod k obsluze Toto stanici musí mít každý, kdo má problémy s připojením určitých periférií (například s klávesnicí) a nemá svůj notebook (počítač) vybaven příslušnými

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

ČSOB Business Connector

ČSOB Business Connector ČSOB Business Connector Instalační příručka Člen skupiny KBC Obsah 1 Úvod... 3 2 Instalace aplikace ČSOB Business Connector... 3 3 Získání komunikačního certifikátu... 3 3.1 Vytvoření žádosti o certifikát

Více

EVIDENCE DOCHÁZKY SE ČTEČKOU INTAGRAL. příručka uživatele

EVIDENCE DOCHÁZKY SE ČTEČKOU INTAGRAL. příručka uživatele EVIDENCE DOCHÁZKY SE ČTEČKOU INTAGRAL příručka uživatele Obsah 1. Úvod 2. Instalace čtečky 3. Instalace programu 4. Nastavení programu 4.1. Nastavení směny 4.2. Nastavení přesčasů 4.3. Nastavení výjimek

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

Komunikace VIPA PLC po Ethernetu

Komunikace VIPA PLC po Ethernetu Komunikace VIPA PLC po Ethernetu Komunikace VIPA PLC po Ethernetu Abstrakt Tento aplikační postup ukazuje, jak nakonfigurovat komunikaci dvou PLC VIPA po Ethernetu. Jedno CPU musí být takzvané NET-CPU,

Více

Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT

Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT MĚŘENÍ S LOGICKÝM ANALYZÁTOREM Jména: Jiří Paar, Zdeněk Nepraš Datum: 2. 1. 2008 Pracovní skupina: 4 Úkol: 1. Seznamte se s ovládáním logického analyzátoru M611 2. Dle postupu měření zapojte pracoviště

Více

Registrační teploměr

Registrační teploměr Popis zapojení: Registrační teploměr ukládá aktuální teplotu do paměti EEPROM v intervalu jedné hodiny. Zařízení je vybaveno zdrojem reálného času (RTC), který zároveň probouzí mikroprocesor ze stavu spánku.

Více

ČSOB Business Connector instalační příručka

ČSOB Business Connector instalační příručka ČSOB Business Connector instalační příručka Obsah 1 Úvod... 2 2 Získání komerčního serverového certifikátu... 2 2.1 Vytvoření žádosti o certifikát v počítači... 2 2.2 Instalace certifikátu na počítač...

Více

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Škola Číslo projektu Číslo a název šablony klíčové aktivity Tematická oblast Název sady Téma Anotace Autor Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram CZ.1.07/1.5.00/34.0556

Více

ochranným obvodem, který chrání útlumové články před vnějším náhodným přetížením.

ochranným obvodem, který chrání útlumové články před vnějším náhodným přetížením. SG 2000 je vysokofrekvenční generátor s kmitočtovým rozsahem 100 khz - 1 GHz (s option až do 2 GHz), s možností amplitudové i kmitočtové modulace. Velmi užitečnou funkcí je také rozmítání výstupního kmitočtu

Více

AVR TESTBOARD. Karel Babický. SPŠ a VOŠ Písek Karla Čapka 402, Písek

AVR TESTBOARD. Karel Babický. SPŠ a VOŠ Písek Karla Čapka 402, Písek Středoškolská technika 2010 Setkání a prezentace prací středoškolských studentů na ČVUT AVR TESTBOARD Karel Babický SPŠ a VOŠ Písek Karla Čapka 402, 39701 Písek Úvod Tato deska slouží spolu s USB sériovým

Více

9. Rozšiřující desky Evb_Display a Evb_keyboard

9. Rozšiřující desky Evb_Display a Evb_keyboard 9. Rozšiřující desky Evb_Display a Evb_keyboard Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Zobrazovacích displejích Principu činnosti a programování čtyřřádkového

Více

Návod ke cvičení předmětu BPGA SLC 500

Návod ke cvičení předmětu BPGA SLC 500 Návod ke cvičení předmětu BPGA SLC 500 SLC 500 Automat SLC 500 je výrobkem firmy Allen-Bradley, dneska již Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce

Více

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10.

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10. MB-XC3SxxxE-TQ144 v1.1 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (10.10.2008) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Firmware řídící jednotky stejnosměrného generátoru

Firmware řídící jednotky stejnosměrného generátoru Firmware řídící jednotky stejnosměrného generátoru Zdeněk KOLKA Projekt FR-TI1/184 - Výzkum a vývoj systému řízení a regulace pozemního letištního zdroje Popis Řídicí jednotka GCU 400SG je elektronické

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

teploty po protokolu Modbus RTU

teploty po protokolu Modbus RTU Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU 2 Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU Abstrakt Tento aplikační postup ukazuje na příkladu snímače Thermokon

Více

BPT 37 UT/W BEZDRÁTOVÝ PROSTOROVÝ TERMOSTAT

BPT 37 UT/W BEZDRÁTOVÝ PROSTOROVÝ TERMOSTAT BPT 37 UT/W BEZDRÁTOVÝ PROSTOROVÝ TERMOSTAT Dosah vysílače cca 35 m Návod k instalaci a ovládání 1/11 v12.12.2012 Prostorový termostat BPT 37 UT/W Termostat BPT 37 UT/W je určen pro řízení tepelných čerpadel

Více

Popis vývodů desek, jejich zapojování a spárování robota

Popis vývodů desek, jejich zapojování a spárování robota Popis vývodů desek, jejich zapojování a spárování robota ----------------------------------------------------------------------------------------------------------------- Popis desky procesoru, LED, tlačítek

Více

HWg-STE zapojení konektorů

HWg-STE zapojení konektorů HWg-STE MANUÁL HWg-STE zapojení konektorů LED indikace Zelená: Žlutá: Power & Mode Link & Activity SENZORY Porty S1 a S2 pro připojení senzoru teploty nebo vlhkosti. - Max. vzdálenost pro 1 senzor 30m

Více

Voltmetr SC5-IA1. Obr. 1 Voltmetr SC5-IA1

Voltmetr SC5-IA1. Obr. 1 Voltmetr SC5-IA1 SC5-IA1 Stručná charakteristika: SC5-IA1 patří do kategorie PERUSB periferií systému SensorFor. Tyto moduly se vyznačují širokou variabilitou použití a to zejména díky své kompatibilitě s nejrůznějšími

Více

Specifikace - SHARK. Projekt: procesorová deska s MPC 52000

Specifikace - SHARK. Projekt: procesorová deska s MPC 52000 Specifikace - SHARK Projekt: procesorová deska s MPC 52000 1 z 16 Obsah 1. Popis zařízení... 3 1.1. Terminologie a zkratky... 3 1.2. Blokové schéma... 4 1.3. Specifikace jádra systému... 4 1.3.1. Procesor...

Více