ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Rozměr: px
Začít zobrazení ze stránky:

Download "ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE"

Transkript

1 Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1. Obsah registru reg se zobrazí na LED připojené na PORT D. Rotaci a detekci stisku tlačítka proveďte v přerušení. Postup řešení v MPLAB: 1. Založení nového projektu (Project -> Project Wizard) 2. Volba typu procesoru Y14SAP Struktura a architektura počítačů 1 / 12

2 3. Volba programovacích nástrojů (není potřeba nic měnit, pouze potvrdit stiskem Další) 4. Pojmenování nového projektu (stisknutím Browse otevřít okno v něm najít požadovanou složku nebo vytvořit novou, napsat jméno souboru a stisknout Save). Pak by okno mělo vypadat takto 5. Okno vložení souboru přeskočit kliknutím na Další 6. Kontrola zadaných údajů (potvrdit stisknutím Dokončit) Typ procesoru(viz. bod 2) Programovací nástroje (viz. bod 3) Cesta a jméno projektu (viz. bod 4) Y14SAP Struktura a architektura počítačů 2 / 12

3 7. Zobrazení jednotlivých oken v MPLAB (View -> Project, View -> Output) 8. Vložení souboru pro psaní programu (Project -> Add New File to Project) soubor pojmenovat a uložit s příponou *.asm Sem patří kód programu Y14SAP Struktura a architektura počítačů 3 / 12

4 9. Připojení skriptu pro Linker (Project -> Add File to Project -> Soubory typu *.lkr) najít soubor 18f87j11_g.lkr. (Bude na síťovém disku). 10. Tvorba kódu Hlavička souboru, pro přehlednost je dobré ji vytvořit Informace pro překladač, pro jaký procesor se program píše Konfigurační bity (nastavují základní chování procesoru) Tyto řádky jsou NEZBYTNĚ nutné. Jejich nedodržení povede k nefunkčnosti celého programu. Y14SAP Struktura a architektura počítačů 4 / 12

5 11. Deklarace proměnných Přiřazení místa paměti symbolickému jménu. V našem případě NEPOUŽÍVAT Deklarace pomocí bloku neinicializovaných dat Začíná na adrese 0x000 POUŽÍVAT Y14SAP Struktura a architektura počítačů 5 / 12

6 12. Struktura programu Na adrese 0x0000 startuje procesor po resetu Odskok na začátek programu (návěští main) Adresa vektoru přerušení Uložení kontextu NEZBYTNÉ Tělo programu Obnovení kontextu a návrat z přerušení NEZBYTNÉ Konec programu Y14SAP Struktura a architektura počítačů 6 / 12

7 13. Obsluha přerušení doplnění kódu Příkazy prováděné v přerušení Nulování příznaku přerušení NEZBYTNÉ 14. Hlavní tělo programu Inicializace procesoru Smyčka prováděná na pozadí programu Y14SAP Struktura a architektura počítačů 7 / 12

8 15. Překlad kódu (Project -> Build all) 16. Požadovaný výsledek překladu 17. Ladění programu pomocí MPLAB SIM (Debugger -> Select Tool -> MPLAB SIM) Ovládání debuggeru Nastavení debuggeru Y14SAP Struktura a architektura počítačů 8 / 12

9 18. Nastavení MPLAB SIM (Debugger -> Settings) Frekvence procesoru 10 MHz Velikost bufferu pro logický analyzátor 10 M lines (odpovídá cca 4s simulace) 19. Vložení Breakpointu a určení doby trvání úseku programu (Debugger -> StopWatch) Breakpoint se vloží dvojklikem na řádku kódu Pozice zastavení programu ve zdrojovém kódu Čas potřebný k dosažení aktuální pozice Y14SAP Struktura a architektura počítačů 9 / 12

10 20. Pohled na obsah registrů (View -> Watch) Výběr SFR registru, pak stisknout Add SFR Jméno registru Hodnota v registru REG Výběr proměnné, pak stisknout Add Symbol Výběr SFR registru Hodnotu některých registrů lze v okně Watch také měnit dvojklikem na sloupec Value a vložením požadované hodnoty.u některých SFR registrů změna není možná (např. PORTB), pak je pro simulaci nutné SFR registr nahradit jiným virtuálním registrem a před finálním překladem programu pro nahrání do desky změnu vrátit zpět. Y14SAP Struktura a architektura počítačů 10 / 12

11 21. Simulace pomocí logického analyzátoru (View -> Simulator Logic Analyzer), vložení proměnných stisknutím Channels Výběr registrů pro zobrazení Přidání registrů mezi zobrazované Zobrazované registry Po startu simulace začne signály zobrazovat i okno analyzátoru Další možností je volba debuggeru přímo ICD3 (Debugger -> Select Tool -> MPLAB ICD3). Program pak lze obdobným způsobem ladit přímo v procesoru. Nevýhodou je nižší rychlost a maximální počet 3 breakpointů. Y14SAP Struktura a architektura počítačů 11 / 12

12 22. Překlad a nahrání programu do procesoru (Programmer -> Select Programmer -> MPLAB ICD3) Ovládání programátoru Úspěšné připojení ICD3 Y14SAP Struktura a architektura počítačů 12 / 12

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 1.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 06 Ver.1.10 J. Zděnek,

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

První kroky s METEL IEC IDE

První kroky s METEL IEC IDE První kroky s poskytuje programování v IEC 61131-3 jazycích, podporuje jak grafickou tak textovou podobu. Umožňuje vytvářet, upravovat a ladit IEC 61131-3 (ST, LD, IL, FBD) programy pro řídicí jednotky

Více

MPASM a IDE pro vývoj aplikací MCU (Microchip)

MPASM a IDE pro vývoj aplikací MCU (Microchip) MPASM a IDE pro vývoj aplikací MCU (Microchip) MPLAB, vývojové prostředí a jeho instalace; Založení nového projektu a jeho základní nastavení; Zásady tvorby a základní úprava formuláře zdrojového kódu;

Více

Příloha č. I: Schéma zapojení vývojové desky PVK-PRO

Příloha č. I: Schéma zapojení vývojové desky PVK-PRO Příloha č. I: Schéma zapojení vývojové desky PVK-PRO Schéma zapojení vývojové desky PVK-PRO (http://poli.cs.vsb.cz/edu/arp/down/pvk-pro.png) Příloha č. III: Organizace registrů v bankách PIC 16F84 Příloha

Více

Masarykova střední škola zemědělská a Vyšší odborná škola, Opava, příspěvková organizace

Masarykova střední škola zemědělská a Vyšší odborná škola, Opava, příspěvková organizace Masarykova střední škola zemědělská a Vyšší odborná škola, Opava, příspěvková organizace Číslo projektu Číslo materiálu Autor Průřezové téma Předmět CZ.1.07/1.5.00/34.0565 VY_32_INOVACE_284_Programovací_jazyky

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

1. Úvod, návrhový systém MPLAB, úvod do programování v C

1. Úvod, návrhový systém MPLAB, úvod do programování v C BI-VES Cvičení 1 - Úvod, Miroslav Skrbek (C)2010,2011 1 z 7 1. Úvod, návrhový systém MPLAB, úvod do programování v C Literatura 1. 2. 3. 4. MPLAB Starter Kit for PIC24F User s Guide, Technická dokumentace,

Více

1. Konfigurace projektu a prostředí

1. Konfigurace projektu a prostředí 1. Konfigurace projektu a prostředí Po prvním spuštění bez existence definičního souboru projektu naběhne uscope IDE v režimu simulátor, plocha pro editační okna je prázdná. Nejprve je třeba nadefinovat

Více

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Škola Číslo projektu Číslo a název šablony klíčové aktivity Tematická oblast Název sady Téma Anotace Autor Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram CZ.1.07/1.5.00/34.0556

Více

MIDAM Simulátor Verze 1.5

MIDAM Simulátor Verze 1.5 MIDAM Simulátor Verze 1.5 Simuluje základní komunikační funkce modulů Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600. Umožňuje změny konfigurace, načítání

Více

Návod ke cvičení předmětu BPGA ControlLogix

Návod ke cvičení předmětu BPGA ControlLogix Návod ke cvičení předmětu BPGA ControlLogix ControlLogix Automat ControlLogix je výrobkem firmy Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce www.rockwellautomation.com.

Více

Použité verze software: Autodesk Revit 2015 a vyšší + Autodesk Navisworks 2015 a vyšší. Potřebný čas studia: minut

Použité verze software: Autodesk Revit 2015 a vyšší + Autodesk Navisworks 2015 a vyšší. Potřebný čas studia: minut 4D SIMULACE PŘI PLÁNOVÁNÍ VÝSTAVBY Použité verze software: Autodesk Revit 2015 a vyšší + Autodesk Navisworks 2015 a vyšší. Potřebný čas studia: 90-120 minut CÍL Pomocí nástroje TimeLiner v Autodesk Navisworks

Více

LED_007.c Strana: 1/5 C:\Michal\AVR\Výukové programy\archiv\ Poslední změna: 4.10.2011 8:01:48

LED_007.c Strana: 1/5 C:\Michal\AVR\Výukové programy\archiv\ Poslední změna: 4.10.2011 8:01:48 LED_007.c Strana: 1/5 Nyní již umíme používat příkazy k větvení programu (podmínky) "if" a "switch". Umíme také rozložit program na jednoduché funkce a používat cyklus "for". Co se týče cyklů, zbývá nám

Více

Návod k simulaci výukového přípravku v programu Hybrid Circuit Simulator (HyCiSim) verze 0.4

Návod k simulaci výukového přípravku v programu Hybrid Circuit Simulator (HyCiSim) verze 0.4 Návod k simulaci výukového přípravku v programu Hybrid Circuit Simulator (HyCiSim) verze 0.4 Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií

Více

PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 7

PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 7 UNIVERZITA TOMÁŠE BATI VE ZLÍNĚ FAKULTA APLIKOVANÉ INFORMATIKY PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 7 Využití knihoven podprogramů, displej Jan Dolinay Petr Dostálek Zlín 2013 Tento studijní materiál vznikl

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Speciální obvody a jejich programování v C 2. díl České vysoké učení technické Fakulta elektrotechnická Ver.1.10 J. Zděnek, 2017 Compare Unit jiné řešení Následující

Více

První krůčky se SAS Enterprise Miner 6.2. Zaškrtněte Personal Workstation a přihlašte se jako localhost\sasdemo.

První krůčky se SAS Enterprise Miner 6.2. Zaškrtněte Personal Workstation a přihlašte se jako localhost\sasdemo. Zaškrtněte Personal Workstation a přihlašte se jako localhost\sasdemo. New Project Pojmenujte projekt a vyberte fyzickou cestu adresář na disku (s právem zápisu pro uživatele sasdemo), kde budou uložena

Více

5 ÚVOD DO TESTOVÁNÍ SOFTWARE. 6 Testování software ve vývojovém prostředí MICROSOFT VISUAL STUDIO V hlavním menu volba Debug

5 ÚVOD DO TESTOVÁNÍ SOFTWARE. 6 Testování software ve vývojovém prostředí MICROSOFT VISUAL STUDIO V hlavním menu volba Debug 5 ÚVOD DO TESTOVÁNÍ SOFTWARE Testování software, za účelem dokázání existence chyby v něm, je nejčastěji prováděno na reálných datech, méně již na imitacích reálných dat a ještě méně na datech symbolických.

Více

8.3 Popis dialogových oken

8.3 Popis dialogových oken 8.3 Popis dialogových oken Pro přehled jsou na následující ilustraci 8.1 vyobrazena všechna dialogová okna. Jedná se o nemodální dialogy, proto je lze mít otevřené současně. Pouze dále popisovaný dialog

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Návod na práci s přípravkem a programy uscope a FLIP na cvičeních

Návod na práci s přípravkem a programy uscope a FLIP na cvičeních Návod na práci s přípravkem a programy uscope a FLIP na cvičeních Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl

Více

Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2

Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2 Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2 Nový projekt vytvoříme volbou New Project Wizard: Introduction z menu File, po které se objeví úvodní okno (obr. 1).

Více

Popis programu EnicomD

Popis programu EnicomD Popis programu EnicomD Pomocí programu ENICOM D lze konfigurovat výstup RS 232 přijímačů Rx1 DIN/DATA a Rx1 DATA (přidělovat textové řetězce k jednotlivým vysílačům resp. tlačítkům a nastavovat parametry

Více

TMservice. Služba operačního systému Windows pro měření teploty čidlem TM

TMservice. Služba operačního systému Windows pro měření teploty čidlem TM Služba operačního systému Windows pro měření teploty čidlem TM 3. června 2004 OBSAH Popis... 3 Vlastnosti... 3 Použití... 3 Instalace... 3 Odinstalace... 3 Nastavení... 4 SMTP... 4 address... 4 "from"...

Více

Úvod. Programovací paradigmata

Úvod. Programovací paradigmata .. Úvod. Programovací paradigmata Programovací techniky doc. Ing. Jiří Rybička, Dr. ústav informatiky PEF MENDELU v Brně rybicka@mendelu.cz Cíl: programování efektivně a bezpečně Programovací techniky

Více

Návod na práci s přípravkem a programem uscope na cvičeních

Návod na práci s přípravkem a programem uscope na cvičeních Návod na práci s přípravkem a programem uscope na cvičeních Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl v rámci

Více

9. Rozšiřující desky Evb_Display a Evb_keyboard

9. Rozšiřující desky Evb_Display a Evb_keyboard 9. Rozšiřující desky Evb_Display a Evb_keyboard Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Zobrazovacích displejích Principu činnosti a programování čtyřřádkového

Více

Pravidla pro získání zápočtu vytvořením individuální semestrální práce mimo cvičení

Pravidla pro získání zápočtu vytvořením individuální semestrální práce mimo cvičení Pravidla pro získání zápočtu vytvořením individuální semestrální práce mimo cvičení Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento

Více

DIODOVÉ HODINY. Dominik Roček. Středisko Vyšší odborná škola a Středisko technických a uměleckých oborů Mariánská ulice 1100, Varnsdorf

DIODOVÉ HODINY. Dominik Roček. Středisko Vyšší odborná škola a Středisko technických a uměleckých oborů Mariánská ulice 1100, Varnsdorf Středoškolská technika 2012 Setkání a prezentace prací středoškolských studentů na ČVUT DIODOVÉ HODINY Dominik Roček Středisko Vyšší odborná škola a Středisko technických a uměleckých oborů Mariánská ulice

Více

Přerušovací systém s prioritním řetězem

Přerušovací systém s prioritním řetězem Přerušovací systém s prioritním řetězem Doplňující text pro přednášky z POT Úvod Přerušovací systém mikropočítače může být koncipován několika způsoby. Jednou z možností je přerušovací systém s prioritním

Více

Nástroj PanelMaker a PanelSIM

Nástroj PanelMaker a PanelSIM Obsah Nástroj PanelMaker a PanelSIM 4. vydání - leden 2008 OBSAH 1.Úvod...2 2.Spuštění PanelMakeru...3 3.Popis prostředí a ovládání...6 3.1Základní obrazovka...6 3.2Popis Menu...7 3.3Nástrojová lišta...8

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 1.díl. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 1.díl. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 1.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 06 Ver.1.10 J. Zděnek,

Více

Obr. 1 - Hlavní okno prostředí MPLAB

Obr. 1 - Hlavní okno prostředí MPLAB BDOM Cvičení 1 1. Prostředí MPLAB Pro práci s obvody MICROCHIP PIC budeme používat vývojové prostředí MPLAB a programátor MPLAB ICD 2. Tento programátor je připojen k vývojové desce PICkit 2. Po spuštění

Více

MyIO - webový komunikátor

MyIO - webový komunikátor MyIO - webový komunikátor Technická příručka verze dokumentu 1.0 FW verze modulu 1.4-1 - Obsah 1 MyIO modul... 3 2 Lokální webové rozhraní... 3 2.1 Start, první přihlášení... 3 2.2 Home úvodní strana MyIO...

Více

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Škola Číslo projektu Číslo a název šablony klíčové aktivity Tematická oblast Název sady Téma Anotace Autor Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram CZ.1.07/1.5.00/34.0556

Více

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Škola Číslo projektu Číslo a název šablony klíčové aktivity Tematická oblast Název sady Téma Anotace Autor Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram CZ.1.07/1.5.00/34.0556

Více

Programovací software ConfigTool. Základní obsluha a postup připojení k zařízení přes USB a GPRS. Verze 2.00

Programovací software ConfigTool. Základní obsluha a postup připojení k zařízení přes USB a GPRS. Verze 2.00 Programovací software ConfigTool Základní obsluha a postup připojení k zařízení přes USB a GPRS Verze 2.00 Vážený zákazníku. Tento stručný uživatelský manuál Vás přehlednou a jednoduchou formou seznámí

Více

Vizualizace a evidence výroby a prostojů

Vizualizace a evidence výroby a prostojů Vizualizace a evidence výroby a prostojů v1.00 Aplikace informuje o aktuálním počtu a historii vyrobených kusů jednotlivých výrobků jednotlivých linek, eviduje prostoje a pracovníky kteří linku obsluhovali

Více

Použití programu uscope k simulaci výukového přípravku pro předmět PMP

Použití programu uscope k simulaci výukového přípravku pro předmět PMP Použití programu uscope k simulaci výukového přípravku pro předmět PMP Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl

Více

Změna vlastností kódem

Změna vlastností kódem Změna vlastností kódem - Metoda setjménovlastnosti(hodnota); - Zadání úkolu Změna vlastností kódem Práce s vlastnostmi Metody setxxx nastavení vlastnosti Metody getxxx zjištění hodnoty vlastnosti případně

Více

Vytváření DVD s DVDStyler

Vytváření DVD s DVDStyler Vytváření DVD s DVDStyler 21. 8. 2009 Jan Drábek Multimédia 26352 DVDStyler je multiplatformní program (ano, funguje i na Windows) pro vytváření profesionálně vypadajících DVD i interaktivních DVD menu.

Více

APS mini.ed programová nadstavba pro základní vyhodnocení docházky. Příručka uživatele verze 2.2.0.6

APS mini.ed programová nadstavba pro základní vyhodnocení docházky. Příručka uživatele verze 2.2.0.6 APS mini.ed programová nadstavba pro základní vyhodnocení docházky Příručka uživatele verze 2.2.0.6 APS mini.ed Příručka uživatele Obsah Obsah... 2 Instalace a konfigurace programu... 3 Popis programu...

Více

generi biotech nastavení real-time PCR cykleru Applied Biosystems 7300 a 7500 Fast Real-Time System (Applied Biosystems)

generi biotech nastavení real-time PCR cykleru Applied Biosystems 7300 a 7500 Fast Real-Time System (Applied Biosystems) Verze: 1.2 Datum poslední revize: 24.9.2014 nastavení real-time PCR cykleru Applied Biosystems 7300 a 7500 Fast Real-Time System (Applied Biosystems) generi biotech OBSAH 1. Nastavení nového teplotního

Více

Popis funkcí a parametrů programu. Reliance External communicator. Verze 1.5.0

Popis funkcí a parametrů programu. Reliance External communicator. Verze 1.5.0 Popis funkcí a parametrů programu Reliance External communicator Verze 1.5.0 Copyright 2003-2007 GEOVAP, spol. s r.o.,čechovo nábřeží 1790, 530 03 Pardubice tel: +420 466 024 617, fax:+420 466 210 314,

Více

Nastavení tiskárny pro tisk štítků Geis Str. 1/16

Nastavení tiskárny pro tisk štítků Geis Str. 1/16 Str. 1/16 Obsah 1. Instalace tiskárny štítků... 2 Instalace tiskárny s rozhraním USB:... 2 Instalace tiskárny se síťovým rozhraním:... 4 2. Přímý tisk na tiskárnu... 9 3. Dump mode... 10 Pro tiskárnu Zebra

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

Citlivý diktafon s aktivací hlasem

Citlivý diktafon s aktivací hlasem Citlivý diktafon s aktivací hlasem Návod k obsluze Hlavní výhody VOR/AVR nastavitelná citlivost u aktivace hlasem Čtyři úrovně kvality nahrávání Doba nepřetržitého nahrávání až 12 hodin na jedno nabití

Více

Návod ke cvičení předmětu BPGA SLC 500

Návod ke cvičení předmětu BPGA SLC 500 Návod ke cvičení předmětu BPGA SLC 500 SLC 500 Automat SLC 500 je výrobkem firmy Allen-Bradley, dneska již Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce

Více

Příloha 6. Palety nástrojů

Příloha 6. Palety nástrojů Příloha 6. Palety nástrojů Palety nástrojů v IDE poskytují zkrácení pro příkazy nabídky. Příkazy jsou rozděleny do několika palet nástrojů, které mohou být nezávisle přeskupeny nebo vloženy do plovoucích

Více

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Škola Číslo projektu Číslo a název šablony klíčové aktivity Tematická oblast Název sady Téma Anotace Autor Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram CZ.1.07/1.5.00/34.0556

Více

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod... 2 2. Xilinx PicoBlaze... 2 2.1 Architektura procesoru...

Více

GEOGRAFICKÉ INFORMAČNÍ SYSTÉMY CVIČENÍ 9

GEOGRAFICKÉ INFORMAČNÍ SYSTÉMY CVIČENÍ 9 UNIVERZITA TOMÁŠE BATI VE ZLÍNĚ FAKULTA APLIKOVANÉ INFORMATIKY GEOGRAFICKÉ INFORMAČNÍ SYSTÉMY CVIČENÍ 9 Praktické zvládnutí software Geomedia Pavel Vařacha a kol. Zlín 2013 Tento studijní materiál vznikl

Více

Error [= chyba], Warning [= varování]. Nad ní jsou věty ve tvaru:

Error [= chyba], Warning [= varování]. Nad ní jsou věty ve tvaru: Cvičení 2 Simulace v ST7 Visual Develop Reva kit Příklad B Simulace v ST7 Visual Develop Jak již bylo zmíněno, vývojové prostředí umožňuje simulovat funkci MCU aniž by bylo připojeno. Tato možnost se jmenuje

Více

UniLog-D. v1.01 návod k obsluze software. Strana 1

UniLog-D. v1.01 návod k obsluze software. Strana 1 UniLog-D v1.01 návod k obsluze software Strana 1 UniLog-D je PC program, který slouží k přípravě karty pro záznam událostí aplikací přístroje M-BOX, dále pak k prohlížení, vyhodnocení a exportům zaznamenaných

Více

Ing. Michal Martin. Spojení PLC CLICK s NA-9289

Ing. Michal Martin. Spojení PLC CLICK s NA-9289 Propojení PLC CLICK s NA-9289 Autor: Ing. Michal Martin Copyright TECON spol. s r. o., Vrchlabí, Česká republika Tato publikace prošla jen částečnou jazykovou korekturou. Tato publikace vznikla na základě

Více

Stručný návod na program COMSOL, řešení příkladu 6 z Tepelných procesů.

Stručný návod na program COMSOL, řešení příkladu 6 z Tepelných procesů. Stručný návod na program COMSOL, řešení příkladu 6 z Tepelných procesů. Zadání: Implementujte problém neustáleného vedení tepla v prostorově 1D systému v programu COMSOL. Ujistěte se, že v ustáleném stavu

Více

Přednáška. Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012

Přednáška. Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012 Přednáška Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012 Příprava studijního programu Informatika je podporována projektem financovaným z Evropského

Více

Semestrální práce 2 znakový strom

Semestrální práce 2 znakový strom Semestrální práce 2 znakový strom Ondřej Petržilka Datový model BlockFileRecord Bázová abstraktní třída pro záznam ukládaný do blokového souboru RhymeRecord Konkrétní třída záznamu ukládaného do blokového

Více

Aplikace Embedded systémů v Mechatronice. Michal Bastl A2/713a

Aplikace Embedded systémů v Mechatronice. Michal Bastl A2/713a Aplikace Embedded systémů v Mechatronice Aplikace Embedded systémů v Mechatronice Obsah přednášky: Opakovaní Funkce v C Tvorba knihoven Konfigurační bity #pragma Makra v C #define Debugging v MPLAB Hardware

Více

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Škola Číslo projektu Číslo a název šablony klíčové aktivity Tematická oblast Název sady Téma Anotace Autor Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram CZ.1.07/1.5.00/34.0556

Více

REMARK. Perfektně popsaný záznam. Uživatelský manuál

REMARK. Perfektně popsaný záznam. Uživatelský manuál REMARK Perfektně popsaný záznam Uživatelský manuál áudified AUDIFIED REMARK Uživatelský Manuál 2 Obsah Úvod 3 Prostředí programu 3 Nastavení programu 4 Karta Audio 4 Karta Export a přenos 5 Karta Obecné

Více

Manuál programu HPSim

Manuál programu HPSim Manuál programu HPSim Základní informace o programu HPSim Program si můžete zdarma stáhnou z domovské stránky tohoto programu na adrese: http://www.winpesim.de. Tento software je volně šiřitelný pro potřeby

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Zpravodaj. Uživatelská příručka. Verze

Zpravodaj. Uživatelská příručka. Verze Zpravodaj Uživatelská příručka Verze 02.01.02 1. Úvod... 3 2. Jak číst tuto příručku... 4 3. Funkčnost... 5 3.1. Seznam zpráv... 5 4. Ovládání programu... 6 4.1. Hlavní okno serveru... 6 4.2. Seznam zpráv...

Více

MIRES CONTROL s.r.o. - Příslušenství REG10. MemoryMaker. Popis ovládání. Strana 1 (celkem 16) MemoryMaker.doc

MIRES CONTROL s.r.o. - Příslušenství REG10. MemoryMaker. Popis ovládání. Strana 1 (celkem 16) MemoryMaker.doc MemoryMaker Popis ovládání Strana 1 (celkem 16) Nástroje Možnosti Pomoc Ukončení Strana 2 (celkem 16) Načtení konfiguračního souboru z disku Smazání datové paměti NEPOUŽÍVAT!!! Nastavení data a času Vyčtení

Více

Používání programu DLS V

Používání programu DLS V Používání programu DLS V Přihlášení Do programu DLS V se lze přihlásit jako uživatel admin s heslem 1234. Počeštění programu V menu Tools Language Manager stiskněte tlačítko (Import) a vyberte jazykový

Více

MĚŘENÍ TEPLOTY. MĚŘENÍ ODPOROVÝM SNÍMAČEM S Pt 100

MĚŘENÍ TEPLOTY. MĚŘENÍ ODPOROVÝM SNÍMAČEM S Pt 100 MĚŘENÍ TEPLOTY 1. úloha MĚŘENÍ ODPOROVÝM SNÍMAČEM S Pt 100 Úkol měření: 1. Změřte statickou charakteristiku R t = f(t) odporového snímače s Pt 100 v rozsahu teplot od 25 C do 80 C. Měření proveďte prostřednictvím

Více

Nástrojová lišta v editačním poli

Nástrojová lišta v editačním poli Nástrojová lišta v editačním poli Název projektu PŘEJÍT NA konkrétní sekci webu ZOBRAZIT zobrazí a) pracovní verzi webu (tj. nepublikovanou) b) publikovanou verzi webu a) Odstranit odstraní zobrazenou

Více

Návod k ovladači ke sportovnímu panelu

Návod k ovladači ke sportovnímu panelu Návod k ovladači ke sportovnímu panelu 1. Start palmu (po zapnutí) Ikonka programu sportovního panelu Sport.prc Domeček tlačítko na vrácení do plochy ikonek Stylus zastrčené ovládací pero Zapínací tlačítko

Více

Aplikace CamHi. Návod k obsluze. Kontakt na dodavatele: SHX Trading s.r.o. V Háji 15, Praha 7, Tel: ,

Aplikace CamHi. Návod k obsluze. Kontakt na dodavatele: SHX Trading s.r.o. V Háji 15, Praha 7, Tel: , Aplikace CamHi Návod k obsluze Kontakt na dodavatele: SHX Trading s.r.o. V Háji 15, Praha 7, 170 00 Tel: 244 472 125, email: info@spyobchod.cz www.spyobchod.cz Stránka 1 1. Ovládání pomocí aplikace CAMHI

Více

MICRO DVB-T STICK. Uživatelský manuál. Watch & record Digital TV programs on Your PC! MT4167

MICRO DVB-T STICK. Uživatelský manuál. Watch & record Digital TV programs on Your PC! MT4167 MICRO DVB-T STICK Watch & record Digital TV programs on Your PC! MT4167 Uživatelský manuál Úvod 2 Obsah balení 2 Požadavky na systém 2 Instalace zařízení 3 Instalace softwaru 3 Práce se zařízením 5 Hlavní

Více

GX Remote Control - popis instalace

GX Remote Control - popis instalace GX Remote Control - popis instalace Přehled GX Remote Control od firmy Honeywell umožňuje vzdálený přístup a ovládání zabezpečovacích ústředen Galaxy Flex a Galaxy Dimension. Pro snadnou obsluhu je k dispozici

Více

Control4 driver systému Lacrima - přijímač TRX-A a bateriové rádiové snímače teploty, vlhkosti TTX-A, TTX-AH a TTX-AX

Control4 driver systému Lacrima - přijímač TRX-A a bateriové rádiové snímače teploty, vlhkosti TTX-A, TTX-AH a TTX-AX Control4 driver systému Lacrima - přijímač TRX-A a bateriové rádiové snímače teploty, vlhkosti TTX-A, TTX-AH a TTX-AX Driver je určen pro připojení přijímačů TRX-A systému Lacrima k řídicímu systému Control4.

Více

Manuál elektronické registrace do databáze hodnotitelů OP PPR

Manuál elektronické registrace do databáze hodnotitelů OP PPR Manuál elektronické registrace do databáze hodnotitelů OP PPR Verze: 0 Září 2015 Helpline: ISKP.OPPR@praha.eu 1 Po přihlášení do portálu ISKP 14+ (https://mseu.mssf.cz/) je uživateli v levé horní části

Více

.include "m32def.inc" // Konstanty.equ N = 5 // Počet prvků v poli.equ PRVNI = 3 // První číslo v poli

.include m32def.inc // Konstanty.equ N = 5 // Počet prvků v poli.equ PRVNI = 3 // První číslo v poli Příklad č. 1 Vytvořte v paměti RAM pole, umístěte jen v paměti SRAM od začátku, jeho velikost bude dána konstantou N. Pole naplňte, přičemž hodnota prvního prvku bude dána konstantou PRVNI, a další prvky

Více

OVLÁDACÍ PANEL HÁZENÁ

OVLÁDACÍ PANEL HÁZENÁ OVLÁDACÍ PANEL HÁZENÁ - 1 / 9 - NÁVOD K OBSLUZE 6/2005 OBSAH: 1. Zapnutí ovládacího panelu a podsvětlení displeje 2. Volba režimu 3. Funkce tlačítek 4. Zobrazení údajů 5. Trestné minuty 6. Změna hracího

Více

UniLog-L. v0.81 návod k obsluze software. Strana 1

UniLog-L. v0.81 návod k obsluze software. Strana 1 UniLog-L v0.81 návod k obsluze software Strana 1 UniLog-L je PC program, který slouží k přípravě karty pro záznam logických průběhů aplikací přístroje M-BOX, dále pak k prohlížení a vyhodnocení. Popis

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 2.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 07 Ver.1.10 J. Zděnek,

Více

5. STRUKTURA PLC PROGRAMU

5. STRUKTURA PLC PROGRAMU 5. STRUKTURA PLC PROGRAMU Struktura PLC programu je navržena s ohledem na co jefektivnější návrh programu při přizpůsobení CNC systému na stroj. 5.1 Moduly jazyka TECHNOL Moduly jazyka PLC836 byly vytvořeny

Více

Stručný postup k použití programu PL7 Junior (programování TSX Micro)

Stručný postup k použití programu PL7 Junior (programování TSX Micro) Stručný postup k použití programu PL7 Junior (programování TSX Micro) 1. Připojení PLC TSX Micro k počítači Kabel, trvale zapojený ke konektoru TER PLC, je nutné zapojit na sériový port PC. 2. Spuštění

Více

1. lekce. do souboru main.c uložíme následující kód a pomocí F9 ho zkompilujeme a spustíme:

1. lekce. do souboru main.c uložíme následující kód a pomocí F9 ho zkompilujeme a spustíme: 1. lekce 1. Minimální program do souboru main.c uložíme následující kód a pomocí F9 ho zkompilujeme a spustíme: #include #include int main() { printf("hello world!\n"); return 0; 2.

Více

SECURITY VIEW. Uživatelský manuál. verze 0.1. Dokumentace vytvořena dne 21. 9. 2012 poslední korekce dne 21. 9. 2012. strana 1. VARIANT plus s.r.o.

SECURITY VIEW. Uživatelský manuál. verze 0.1. Dokumentace vytvořena dne 21. 9. 2012 poslední korekce dne 21. 9. 2012. strana 1. VARIANT plus s.r.o. verze 0.1 Dokumentace vytvořena dne 21. 9. 2012 poslední korekce dne 21. 9. 2012 VARIANT plus s.r.o. strana 1 OBSAH 1. Úvod... 3 1.1. Přihlášení do aplikace... 3 2. Hlavní okno aplikace... 4 2.1. Menu

Více

8 Třídy, objekty, metody, předávání argumentů metod

8 Třídy, objekty, metody, předávání argumentů metod 8 Třídy, objekty, metody, předávání argumentů metod Studijní cíl Tento studijní blok má za cíl pokračovat v základních prvcích jazyka Java. Konkrétně bude věnována pozornost třídám a objektům, instančním

Více

TIA na dosah. Safety funkce - SIMATIC S7-1500F a SINAMICS G120 v TIA Portálu v13. Siemens AG 2014. Alle Rechte vorbehalten. siemens.

TIA na dosah. Safety funkce - SIMATIC S7-1500F a SINAMICS G120 v TIA Portálu v13. Siemens AG 2014. Alle Rechte vorbehalten. siemens. TIA na dosah Safety funkce - SIMATIC S7-1500F a SINAMICS G120 v TIA Portálu v13 siemens.com/answers Přehled SIMATIC a SINAMICS silný tým v TIA-Portálu! Úkoly: Řešení TIA-Portal V13, S7-1500 a Startdrive:

Více

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem.

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem. 83 14. (Pouze u verze Mathcad Professional) je prostředí pro přehlednou integraci a propojování aplikací a zdrojů dat. Umožní vytvořit složitý výpočtový systém a řídit tok dat mezi komponentami tohoto

Více

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Vytvoření projektu pro desku DE2 v Altera Quartus Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Obsah Vytvoření projektu pro desku DE2 v Altera Quartus...

Více

František Hudek. duben ročník

František Hudek. duben ročník VY_32_INOVACE_FH12_WIN Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, obor, okruh, téma Anotace František Hudek duben 2013 6.

Více

Value - Powerline ethernet adaptér, 85Mbps Katalogové číslo: 21.99.1406

Value - Powerline ethernet adaptér, 85Mbps Katalogové číslo: 21.99.1406 Value - Powerline ethernet adaptér, 85Mbps Katalogové číslo: 21.99.1406 Vlastnosti: Kompatibilní s HomePlug 1.0 Turbo Kompatibilní se specifikací IEEE 802.3 10BASE-T Ethernet, IEEE 802.3u 100BASE-TX ANSI/IEEE

Více

Nápověda k aplikaci EA Script Engine

Nápověda k aplikaci EA Script Engine Nápověda k aplikaci EA Script Engine Object Consulting s.r.o. 2006 Obsah Nápověda k aplikaci EA Script Engine...1 1. Co je EA Script Engine...2 2. Důležité upozornění pro uživatele aplikace EA Script Engine...3

Více

Pokyny pro obsluhu programu. EZZ01 File reader 1.3

Pokyny pro obsluhu programu. EZZ01 File reader 1.3 www. první-saz.cz Pokyny pro obsluhu programu EZZ01 File reader 1.3 příloha k TP SaZ 3/01 1. Instalace programu EZZ01 File reader 1.3 do počítače Program EZZ01 File reader 1.2 pracuje s operačními systémy

Více

TP 304337/b P - POPIS ARCHIVACE TYP 457 - Měřič INMAT 57 a INMAT 57D

TP 304337/b P - POPIS ARCHIVACE TYP 457 - Měřič INMAT 57 a INMAT 57D Měřič tepla a chladu, vyhodnocovací jednotka průtoku plynu INMAT 57S a INMAT 57D POPIS ARCHIVACE typ 457 OBSAH Možnosti archivace v měřiči INMAT 57 a INMAT 57D... 1 Bilance... 1 Uživatelská archivace...

Více

Návod pro práci s aplikací

Návod pro práci s aplikací Návod pro práci s aplikací NASTAVENÍ FAKTURACÍ...1 NASTAVENÍ FAKTURAČNÍCH ÚDA JŮ...1 Texty - doklady...1 Fakturační řady Ostatní volby...1 Logo Razítko dokladu...2 NASTAVENÍ DALŠÍCH ÚDA JŮ (SEZNAMŮ HODNOT)...2

Více

Operační systémy. Jednoduché stránkování. Virtuální paměť. Příklad: jednoduché stránkování. Virtuální paměť se stránkování. Memory Management Unit

Operační systémy. Jednoduché stránkování. Virtuální paměť. Příklad: jednoduché stránkování. Virtuální paměť se stránkování. Memory Management Unit Jednoduché stránkování Operační systémy Přednáška 8: Správa paměti II Hlavní paměť rozdělená na malé úseky stejné velikosti (např. 4kB) nazývané rámce (frames). Program rozdělen na malé úseky stejné velikosti

Více

Reliance. Komunikační driver Johnson Controls verze 1.5.4

Reliance. Komunikační driver Johnson Controls verze 1.5.4 Reliance Komunikační driver Johnson Controls verze 1.5.4 OBSAH 1.1. Základní pojmy... 3 2. Komunikační driver Johnson Controls... 4 2.1 Základní Vlastnosti... 4 Start driveru... 4 Připojení stanice N2

Více

Popis programu řádkové kamery USB Video Interface

Popis programu řádkové kamery USB Video Interface Popis programu řádkové kamery USB Video Interface 1. Část programu pro 1D video 1 řádkový režim kamery Inicializace kamery Stisknutím tlačítka Initialization se nakonfiguruje komunikační spojení mezi kamerou

Více

MS Excel makra a VBA

MS Excel makra a VBA Autor: RNDr. Obsah: MS Excel makra a VBA 1 Využití, ukázky, výhody a nevýhody... 2 2 Makra a zabezpečení... 2 2.1 Nastavení zabezpečení Excelu... 2 2.2 Uložení maker do sešitu a osobního sešitu maker...

Více

Uživatelský manuál. Format Convert V3.1

Uživatelský manuál. Format Convert V3.1 Uživatelský manuál Format Convert V3.1 Obsah Obsah 1 Kapitola 1 - Popis softwaru Systémové požadavky 2 Podporovaná zařízení a formáty 2 Odinstalace 3 Kapitola 2 - Ovládání Výběr formátu souboru 4 Výběr

Více

Svolávací systém Uživatelský manuál

Svolávací systém Uživatelský manuál Uživatelský manuál TTC TELEKOMUNIKACE, s.r.o. Třebohostická 987/5 100 00 Praha 10 tel.: 234 052 111 fax.: 234 052 999 e-mail: ttc@ttc.cz http://www.ttc-telekomunikace.cz Datum vydání: 14. srpna 2013 Číslo

Více

Správné vytvoření a otevření textového souboru pro čtení a zápis představuje

Správné vytvoření a otevření textového souboru pro čtení a zápis představuje f1(&pole[4]); funkci f1 předáváme hodnotu 4. prvku adresu 4. prvku adresu 5. prvku hodnotu 5. prvku symbolická konstanta pro konec souboru je eof EOF FEOF feof Správné vytvoření a otevření textového souboru

Více