6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury

Rozměr: px
Začít zobrazení ze stránky:

Download "6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury"

Transkript

1 6. Programovatelné struktury PLA, PAL, PROM, GAL struktury

2 6. Programovatelné struktury úvod Programovatelné obvodyřadíme mezi univerzální logické bloky, resp. programovatelné logické moduly. Obecné označení těchto modulů je PLD Programmable Logic Devices. V tomto pojetí to jsou integrované obvody typu MSI a VLSI (Midle Scale Integration, Very Large Scale Integration), které obsahují bloky se základními logickými členy i s klopnými obvody a tyto jsou propojovány uspořádaným systémem vodičů s programovatelnými spínači. Konfigurací spínačů se určí funkce logického obvodu. Ve srovnání se zákaznickými a polozákaznickými obvody jsou tyto programovatelné obvody o něco pomalejší. Avšak moderní obvody jsou konstruovány jako rekonfigurovatelné, tedy dají se přeprogramovat a tím aktualizovat pro danou aplikaci. Vlastí návrh logické struktury se v zásadě neliší od klasických návrhů. Návrhář nakreslí schéma nebo popíše funkci obvodu logickými rovnicemi ve speciálním programovacím jazyku. Dané schéma je zpracováno postupně několika procesy. Prvý může být označen syntézou, kdy se provedou optimalizace logických funkcí, včetně minimalizace, dekompozice apod.

3 6. Programovatelné struktury - úvod II Druhým krokem je pak tzv. mapování, což je proces, kdy se rozhoduje jakými prvky (logickými členy) a s jakým počtem vstupů se bude obvod realizovat. Další krok je proces rozmísťování, tj. přiřazení skutečných logických členů na souřadnicích x, y ve struktu-ře obvodu a propojení (tedy určuje se, které spínače budou sep-nuty. Výsledkem jsou konfigurační data, zpravidla ve formě sou-boru. Obvod se pak umístí do speciálního zařízení, označované jako programátor, který je připojen ke standardnímu PC např. prostřednictvím paralelního portu nebo sériového portu USB a nakon-figuruje se. Obvody, které jsou v desce zapájeny a je vyžadována rekonfigurace, mají speciální rozhraní JTAG, neboli Boundary Scan. Toto sériové rozhraní bylo vyvinuto za účelem diagnostiky a konfigurace číslicových obvodů. Obvod se již nezasouvá do programátoru, ale konfiguruje se zapájený v desce, připojením konektoru JTAG k PC (ISP In System Programming)

4 6. Programovatelné struktury úvod III Spínací prvky programovatelných obvodů se mohou dělit na destruktivní a nedestruktivní. V počátku těchto realizací byly užívány tzv. přepalovatelných pojistek (angl. fuse) nebo antipojistek jako destruktivních prvků. Pojistkou vlastně byl destruktivní polovodičový přechod, kde přivedeným vyšším napětím došlo k přerušení vodivosti. Tyto obvody byly možné programovat jen jednou ty se nazývaly obvody PLA a prvé obvody PAL. U moderních obvodů se začalo používat spínacích tranzistorů CMOS. Sepnutí tranzistoru je řízeno miniaturní paměťovou buňkou (zapsaná nebo ). V buňce je hodnota uchována na principu izolace náboje hodnota zůstává zachována i o vypnutí napájecího napětí a je možné ji elektricky smazat a přeprogramovat. Ta se začaly vyrábět obvody GAL a CPLD. Výrobci je zaručena trvanlivost záznamu na let a asi cyklů reprogramování. Obvody označené jako FPGA mají poněkud odlišnou architekturu.

5 6. Programovatelné struktury - aplikace PROM Užití ROM resp. PROM pro univerzální realizaci logické funkce pro rychlé realizace méně rozsáhlých logických funkcí

6 6. Programovatelné struktury aplikace PROM Logické funkce pro realizaci v PROM nelze minimalizovat! Programovací předpis pro PROM - pravdivostní tabulka a n. a 2 a a O O 2. O n n..

7 6. Programovatelné struktury aplikace PROM Příklad: realizace funkce pamětí y a b c A A A2 a b c y Adresa na adrese je zapsána na adrese je zapsána

8 6. Programovatelné struktury PLA, PAL, GAL Ve strukturách PLA, PAL a GAL se dodržuje klasická AND OR koncepce, tj. taková, aby se bez dalších úprav mohly realizovat součtové formy logických funkcí (disjunktivní forma Sum of Product). Klasická řada obvodů PLA se již dnes v katalozích firem nevyskytuje šlo o jednorázově naprogramovatelné logické pole. Na vstupu součinové AND matice jsou zajištěny i negece vstupních proměnných I k. Do matice součtové pak vstupují součiny(součinové termy) p i. Bližš ukázka je na dalším obrázku.

9 6. Programovatelné struktury PLA, PAL, GAL Struktura PAL (Programmable Array Logic) Oproti PLA nemají obvody PAL součtovou matici a výstupy jsou fixovány do součtových hradel OR zpravidla s omezeným počtem vstupů. Přímo bylo možné realizovat funkce pouze s maximálně osmi součinovými termy. Výstupníčásti se vyráběly v několika variantách a kromě třístavových budičů obsahovaly klopné obvody (zpravidla typu D). Princip realizace s možnou minimalizací jednoduché logické funkce obvodem PAL ukazuje další orázek.

10 6. Programovatelné struktury PLA, PAL, GAL

11 6. Programovatelné struktury PLA, PAL, GAL Příklad obvodu PAL s označením GAL6V8, reprogramovatelný a na výstupu mají konfigurovatelnou buňku (OLMC)- Output Logic MacroCell. Ukázka této buňky je na dalším obrázku.

12 6. Programovatelné struktury PLA, PAL, GAL Výstupní buňka OLMC obvodu GAL - obsahuje dva multiplexory (4- vstupový a 2- vstupový), které se konfigurují při programování. Výstup D klopného obvodu je přiveden zpět do matice AND. Pokud není makro-buňka využita, odpovídající vývody pouzdra mohou být konfigurovány jako vstupní. Budič je trvale ve třetím stavu a dvouvstupový multiplexor přivádí do matice místo výstupu klopného obvodu signál z výstupu pouzdra.

13 6. Programovatelné struktury obvody CPDL Obvody CPDL (Complex Programmable Logic Devices) se řadí mezi obvody LSI a vznikly integrací struktur GAL. Lze si je představit jako několik obvodů GAL uspořádaných na čipu do matice, mezi nimiž jsou vloženy propojovací vodiče (jako propojovací matice) s programovatelným propojením. Největšími výrobci obvodů CPDL jsou firmy Altera a XILINX. Uveďme si vnitřní strukturu obvodů CPDL fy XILINX řady XC95xx. Obvody jsou mazatelné a reprogramovatelné - výrobce zaručuje cyklů mazání/programování. Obdobnou architekturu mají obvody CPDL CoolRunner od téže firmy tato řada se vyznačuje velmi nízkou spotřebou (klidová spotřeba činí cca µa, technologie EEPROM a využívá 5 úrovní metalizace,35 µm). Obvody XC95xx se vyrábějí pro 3 napájecí napětí, jinak se neliší. Řada XC95xx používá napájení 5V, XC95xxXL 3,3V a XC95xxXV je optimalizována pro 2,5V. U řady s nižším napájecím napětím než 5V tolerant, je možné na ně přivést signály TTL logiky. Programování je systémem ISP přes rozhraní JTAG.

14 6. Programovatelné struktury CPDL II Vnitřní architektura obvodů řady XC95xx

15 6. Programovatelné struktury CPLD III Vnější signály z vývodů pouzdra jsou přivedeny do I/O buněk, které obsahují třístavové budiče společně s logikou řízení směru signálu. Rychlá propojovací matice (FASTCONNECT Switch Matrix) zajišťuje programovatelné propojení navzájem mezi buňkami s logikou a I/O bloky. Vlastní programovatelnou logiku představují funkční bloky Function lock, základem je programovatelná matice AND jako u obvodu GAL. Product Term Allocator tvoří jednak logický součet součinů, jednak má možnost přivést k signálům asynchronního nastavení /nulování klopných obvodů v makrobuňkách a ovládání třetích stavů budičů v I/O blocích, eventuálně ovládat hodinový signál klopného obvodu místo společného rozvodu. Makrobuňka (MakroCell ) je tvořena klopným obvodem typu D a multiplexory nastavovanými konfigurací. Na dalším obrázku je vyobrazení funkčního bloku část matice AND, Product Term Allocator a jedna makrobuňka.

16 6. Programovatelné struktury CPLD IV

17 6. Programovatelné struktury CPLD V. Přehled vyráběných obvodůřady XC95xx co do počtu makrobuněk Obvod XC9536 XC9572 XC958 XC9544 XC9526 XC95288 Makrobuňky Počet ekv. hradel Počet klop. obvodů F (MHz) ,, Maximální frekvence sekvenčního obvodu závisí na složitosti kombinační logiky. Cena obvodu závisí kromě vnitřní velikosti (počtu makrobuněk a hradel) také na pouzdru, ve kterém je IO aplikován. Obvody se vyrábějí v pouzdrech pro povrchovou montáž od velikosti 44 až 352 vývodů.

18 6. Programovatelné struktury - FPGA Programovatelná hradlová pole FPGA Největšími výrobci programovatelných polí hradel FPGA (Fiield Programmable Gate Array) jsou firmy Altera a XILINX. Prvnířada fy XILINX, která se výrazně rozšířila byla označena XC3. Později se zvětšila konfigurovatelná buňka CLB a z toho vznikla řada XC4. Koncem 9. let provedla firma technologické úpravy řady XC4 a byla vytvořena řada Spartan XCS, která se vnitřní strukturou od XC4 v podstatě nelišila. Do této doby používaly všechny obvody napájení +5V. Avšak řada Spartan s napájením 3,3V nesla označení XL. Odlišná architektura je až u řady Spartan II (napájení 2,5V), nejnovějšířada obvodů Spartan nese označení Spartan III. Firma XILINX má v nabídce ještěřady Virtex resp. Virtex II. Základem architektury jsou hlavně konfigurovatelné logické bloky CLB (Configurable Logic Block). Jsou uspořádány do matice, v oblasti mezi boky jsou vloženy propojovací vodiče s propojovacími maticemi. Na obvodu čipu jsou umístěny

19 6. Programovatelné struktury - FPGA II vstupně-výstupní bloky IOB, které jsou napojeny na vývody z čipu resp. z pouzdra.

20 6. Programovatelné struktury - FPGA III Stručně několik informací o konfigurovatelném bloku CLB. Obsahuje dva klopné obvody typu D, několik multiplexorů, které se nastavují při konfiguraci, dále 3 statické paměti RAM resp. SRAM, nazývaní LUT (Look-up Table vyhledávací tabulka). Tyto paměti mají označení F, G, H a při konfiguraci těchto pamětí LUT se realizuje kombinační logika (kombinační logické funkce). Podobně jako u použití pevné paměti Rom(PROM) se do těchto pamětí zapisuje pravdivostní tabulka logických funkcí a vstupní signály se přivedou na adresové vodiče. Tedy FPGA neobsahuje žádná hradla ani programovatelné matice AND. Aby byla umožněna reprogramovatelnost, jsou místo pevných pamětí v hradlovém poli statické paměti RAM. V jednom CLB bloku je možné realizovat buď libovolné dvě funkce čtyř proměnných (F, F2, F3, F4 a G G2, G3, G4) nebo libovolnou jednu funkci o pěti logických proměnných. S využitím třetí tabulky H a vstupu H je tedy možné realizovat funkci o 5 proměnných. Realizace funkce s 5 proměnnými

21 6. Programovatelné struktury FPGA IV je provedena rozkladem Shannonova teorému. Tabulka H je nakonfigurována jako dvouvstupový multiplexorřízený vstupem H. Pouze některé logické funkce s více proměnnými je možné realizovat pomocí jediného bloku CLB, ve složitějších případech je nutné provést dekompozici funkce a rozložit ji do více bloků CLB. Kromě implementace kombinačního logického obvodu v CLB bloku mohou být tabulky LUT využity také skutečně jako paměti, a tudíž je možné v návrzích struktury obvodů používat i bloky paměti. Synchronizační signál (hodinový) clk, asynchronní nastavení resp. nulování SR a povolovací signál CE jsou používány společně pro CLB buňku. Blokové schéma konfigurovateného bloku je uvedeno na obrázku.

22 6. Programovatelné struktury FPGA V blok CLB

23 6. Programovatelné struktury FPGA VI propojovací matice Propojovací kanál CLB konfigurovatelný logický blok PSM programovatelná matice propojení, kde se křižují propojovací vodiče (Programmable Switching Matrix) Černé bodíky mimo matice znázorňují samostatné programovatelné propojovací body. Propojovací vodiče jsou na čipu trojí a liší se svou délkou. Jednoduché vodiče (Singles), kterých je nejvíce, propojují dvě sousední matice PSM a mezi každou

24 6. Programovací struktury FPGA VII - PSM druhou maticí jsou dvojité vodiče (Doubles). Dlouhé vodiče (Long) jsou vedeny přes celý čip. Zpoždění signálu na vodičích již není u FPGA zanedbatelné vůči zpoždění logiky a klopných obvodů, musí se tedy při výpočtu časování samozřejmě uvažovat. Vnitřní strukturu propojovatelné matice PSM ukazuje další obrázek.

25 6. Programovatelné struktury FPGA VIII Každý propojovací bod v matici PSM je realizován šesticí spínacích tranzistorů, u nichž se stav sepnutí určuje při kofiguraci. U každého tranzistoru je připojena buňka statické paměti RAM, do které se zapisuje, zda má být tranzistor sepnut či rozepnut. Vstupně-výstupní blok IOB obsahuje vstupní a výstupní klopný obvod, jichž je možné využít v návrhu v případě, že výstupní signál je přímo výstupem klopného obvodu nebo vstupní signál je veden na vstup klopného obvodu. Tím se může uštřit klopný obvod v CLB bloku. Výstupní třístavový budič má programovatelnou rychlost přeběhu (Slew Rate) a volbu nastavení úrovní TTL/CMOS. Hodinový signál, signál pro nastavení resp. nulování GSR (Global Set/Reset) a řízení třetího stavu GTS (Global TriState) mají specielně navržené rozvody po celém čipu. Při návrhu na FPGA důsledně dodržujeme pravidlo nevkládat žádnou logiku do cesty hodinovému signálu.

26 6. Programovatelné struktury FPGA IX Blok IOB

27 6. Programovatelné struktury FPGA X Konfigurace obvodů FPGA je založena na statických pamětech RAM, které jsou implementovány s velkým důrazem na spolehlivost a díky odlišné technologii buněk než u EEPROM pamětí CPLD(izolace náboje) není zde prakticky omezení na počet reprogramování z hlediska spolehlivosti. Nevýhodou RAM však je jejich závislost na napájení, tzn., že po každém zapnutí zařízení, kde jsou FPGA, je nutné obvod vždy znovu nakonfigurovat. To se dá provádět buď přes rozhraní JTAG nebo ve speciálním sériovém či paralelním Slave módu. V tomto módu např. jednočipový mikropočítač načítá konfigurační data (bitstream) z paměti ROM (EPROM, EEPROM). V módu Master je obvod FPGA schopen řídit autonomně připojenou paměť ROM a načítat data. Maximální dosažitelná frekvence hodinového signálu deklarovaná výrobcem u obvodů Spartan je 8 MHz. Tato samozřejmě je ovlivněna rozsahem návrhu a tedy se snižuje. Obecně závisí frekvence na počtu použitých CLB bloků, na rozmístění a propojení. Běžně bývá u realizovaných návrhů okolo 2MHz.

28 6. Programovatelné struktury FPGA XI Obvody Spartan II a Virtex - nová generace obvodů fy XILNX Obě řady mají stejnou architekturu. Každý CLB blokse skládá ze dvou identických bloků Slice. Blok obsahuje dvě LUT tabulky F a G a dva klopné obvody. Je přidána Carry Logic se vstupním signálem CIN a výstupním COUT, která se využívá při implementaci sčítaček pro přenosy mezi řády. Architektura systému programovatelného propojování je dvouúrovňová. GRM Global Routing Matrix obdobou PSM (u Spartanu)

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

Prezentace do předmětu Architektury a použití programovatelných obvodů 2

Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Složité a méně běžné obvody PAL, sekvencery Obvody typu PAL jsou jedním z typů programovatelných logických obvodů (PLD). Jsou to

Více

Zákaznické obvody 1. ASIC 2. PLD 3. FPGA. Ondřej Novák O. Novák: CIE9 1

Zákaznické obvody 1. ASIC 2. PLD 3. FPGA. Ondřej Novák O. Novák: CIE9 1 Zákaznické obvody Ondřej Novák 1. ASIC 2. PLD 3. FPGA 30.1.2013 O. Novák: CIE9 1 Dělení IO podle způsobu funkce analogové (lineární) číslicové (logické) podle stupně integrace SSI, do 200 hradel, řada

Více

PROGRAMOVATELNÁ LOGICKÁ POLE

PROGRAMOVATELNÁ LOGICKÁ POLE PROGRAMOVATELNÁ LOGICKÁ POLE Programovatelné součástky a zejména hradlová pole jsou velmi důležité prvky dnešní elektroniky. Díky nim si každý může vyrobit vlastní zákaznický integrovaný obvod šitý přesně

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

SPARTAN - 3 Xilinx FPGA Device

SPARTAN - 3 Xilinx FPGA Device SPARTAN - 3 Xilinx FPGA Device 1. Úvod: 1.2V řada SPARTAN-3 navazuje na úspěch předchozí řady: SPARTAN-IIE. Od architektury SPARTAN-IIE se liší v počtu systémových hradel a logických buněk, velikosti RAM,

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru jsou používány

Více

Téma 32. Petr Kotál (kotal.p@seznam.cz)

Téma 32. Petr Kotál (kotal.p@seznam.cz) Téma 32 Petr Kotál (kotal.p@seznam.cz) Zadání: Realizační prvky logických obvodů. Logický řídící systém, řadič řídícího systému. Mikroprogramové automaty, volně programovatelné automaty PLC, mikropočítačové

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

DUM č. 10 v sadě. 31. Inf-7 Technické vybavení počítačů

DUM č. 10 v sadě. 31. Inf-7 Technické vybavení počítačů projekt GML Brno Docens DUM č. 10 v sadě 31. Inf-7 Technické vybavení počítačů Autor: Roman Hrdlička Datum: 04.12.2013 Ročník: 1A, 1B, 1C Anotace DUMu: jak fungují vnitřní paměti, typy ROM a RAM pamětí,

Více

PROGRAMOVATELNÉ LOGICKÉ PRVKY

PROGRAMOVATELNÉ LOGICKÉ PRVKY Vysoká škola báňská Technická univerzita Ostrava PROGRAMOVATELNÉ LOGICKÉ PRVKY Studijní opora Jaroslav Zdrálek Ostrava 2007 Recenze: Ing. Zdeňka Chmelíková, Ph.D. Název: Programovatelné logické prvky,

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Paměti počítače ROM, RAM

Paměti počítače ROM, RAM Paměti počítače ROM, RAM Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje. Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru

Více

Paměť počítače. 0 (neprochází proud) 1 (prochází proud)

Paměť počítače. 0 (neprochází proud) 1 (prochází proud) Paměť počítače Paměť je nezbytnou součástí jakéhokoli počítače. Slouží k uložení základních informací počítače, operačního systému, aplikačních programů a dat uživatele. Počítače jsou vybudovány z bistabilních

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o.

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o. Zpracování obrazu v FPGA Leoš Maršálek ATEsystem s.r.o. Základní pojmy PROCESOROVÉ ČIPY Křemíkový čip zpracovávající obecné instrukce Různé architektury, pracují s různými paměti Výkon instrukcí je závislý

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Paměti Josef Horálek

Paměti Josef Horálek Paměti Josef Horálek Paměť = Paměť je pro počítač životní nutností = mikroprocesor z ní čte programy, kterými je řízen a také do ní ukládá výsledky své práce = Paměti v zásadě můžeme rozdělit na: = Primární

Více

Obvody Xilinx řady XC3000

Obvody Xilinx řady XC3000 Obvody Xilinx řady XC3000 Z řady XC3000 vychází čtyři nové rodiny obvodů XC3000A XC3000L XC3100A XC3100L Mají stejnou architekturu, vývojový program, návrhové a programové metodiky i stejné vlastnosti

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Způsoby realizace paměťových prvků

Způsoby realizace paměťových prvků Způsoby realizace paměťových prvků Interní paměti jsou zapojeny jako matice paměťových buněk. Každá buňka má kapacitu jeden bit. Takováto buňka tedy může uchovávat pouze hodnotu logická jedna nebo logická

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

PK Design. Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0. Verze dokumentu 1.0 (2. 6. 03)

PK Design. Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0. Verze dokumentu 1.0 (2. 6. 03) Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel v1.0 Uživatelský manuál Verze dokumentu 1.0 (2. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti programovacího kabelu...4 2.2 Použití

Více

Když procesor nestačí, FPGA zaskočí

Když procesor nestačí, FPGA zaskočí Když procesor nestačí, FPGA zaskočí Jan Fosfor Pospíšil 8. 12. 2015 Středisko UN*Xových technologií Úterní díl Bastlířských Střed Když procesor nestačí, FPGA zaskočí (8. 12. 2015) 2 Bastlířské středy (Ne)pravidelné

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

PK Design. Uživatelský manuál. Xilinx FPGA, CPLD & Atmel AVR. Verze dokumentu 1.0 (12. 12. 04)

PK Design. Uživatelský manuál. Xilinx FPGA, CPLD & Atmel AVR. Verze dokumentu 1.0 (12. 12. 04) Xilinx FPGA, CPLD & Atmel AVR paralelní programovací kabel-r v1.0 Uživatelský manuál Verze dokumentu 1.0 (12. 12. 04) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti programovacího kabelu... 4 2.2 Použití

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Paměti počítače 9.přednáška

Paměti počítače 9.přednáška Paměti počíta tače 9.přednáška Paměť Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEIII Paměti konstant

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEIII Paměti konstant Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEIII - 1.5 Paměti konstant Obor: Mechanik elektronik Ročník: 3. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je spolufinancován

Více

Paměti operační paměti

Paměti operační paměti Paměti operační paměti Autor: Kulhánek Zdeněk Škola: Hotelová škola, Obchodní akademie a Střední průmyslová škola Teplice, Benešovo náměstí 1, příspěvková organizace Kód: VY_32_INOVACE_ICT_828 1.11.2012

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ

3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ 3. REALIZACE KOMBINAČNÍCH LOGICKÝCH FUNKCÍ Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné v souhlasu se zadanou logickou funkcí.

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a prodej

Více

Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns ms rychlost toku dat (tj. počet přenesených bitů za sekundu)

Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns ms rychlost toku dat (tj. počet přenesených bitů za sekundu) Paměti Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns...100 ms rychlost toku dat (tj. počet přenesených bitů za sekundu) kapacita paměti (tj. počet bitů, slabik, slov) cena

Více

Ne vždy je sběrnice obousměrná

Ne vždy je sběrnice obousměrná PAMĚTI Ne vždy je sběrnice obousměrná Paměti ROM (Read Only Memory) určeny pouze pro čtení informací. Informace jsou do těchto pamětí pevně zapsány při jejich výrobě a potom již není možné žádným způsobem

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Historie počítačů. 0.generace. (prototypy)

Historie počítačů. 0.generace. (prototypy) Historie počítačů Historie počítačů se dělí do tzv. generací, kde každá generace je charakteristická svou konfigurací, rychlostí počítače a základním stavebním prvkem. Generace počítačů: Generace Rok Konfigurace

Více

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Testování obvodů přístup k obvodům omezen porty / vývody In-Circuit Testery (Bed of Nails) Fine Pitch / MCM Multilayer Coating

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

MĚŘENÍ HRADLA 1. ZADÁNÍ: 2. POPIS MĚŘENÉHO PŘEDMĚTU: 3. TEORETICKÝ ROZBOR. Poslední změna

MĚŘENÍ HRADLA 1. ZADÁNÍ: 2. POPIS MĚŘENÉHO PŘEDMĚTU: 3. TEORETICKÝ ROZBOR. Poslední změna MĚŘENÍ HRADLA Poslední změna 23.10.2016 1. ZADÁNÍ: a) Vykompenzujte sondy potřebné pro připojení k osciloskopu b) Odpojte vstupy hradla 1 na přípravku a nastavte potřebný vstupní signál (Umax, Umin, offset,

Více

Paměti EEPROM (1) 25/07/2006 1

Paměti EEPROM (1) 25/07/2006 1 Paměti EEPROM (1) EEPROM - Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 24 Vnitřní paměti

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

AGP - Accelerated Graphics Port

AGP - Accelerated Graphics Port AGP - Accelerated Graphics Port Grafiku 3D a video bylo možné v jisté vývojové etapě techniky pracovních stanic provozovat pouze na kvalitních pracovních stanicích (cena 20 000 USD a více) - AGP představuje

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné (?) zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY KOMBINAČNÍ LOGICKÉ OBVODY Použité zdroje: http://cs.wikipedia.org/wiki/logická_funkce http://www.ibiblio.org http://martin.feld.cvut.cz/~kuenzel/x13ups/log.jpg http://www.mikroelektro.utb.cz http://www.elearn.vsb.cz/archivcd/fs/zaut/skripta_text.pdf

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

2.9 Vnitřní paměti. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu

2.9 Vnitřní paměti. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu Název školy Číslo projektu Autor Název šablony Název DUMu Tematická oblast Předmět Druh učebního materiálu Anotace Vybavení, pomůcky Ověřeno ve výuce dne, třída Střední průmyslová škola strojnická Vsetín

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Úvod do počítačových architektur

Úvod do počítačových architektur Úvod do počítačových architektur T.Mainzer Úvod - analogový vs digitální počítač - analogový - (+) rychlost, (-) přesnost, opakovatelnost, specializovanost - digitální - (+) opakovatelnost, univerzálnost

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Vana RC0001R1 RC0001R1

Vana RC0001R1 RC0001R1 Vana RC0001R1 Vana RC0001R1 má celkem 21 pozic o šířce čelního panelu 4 moduly. Je určena pro obecné použití s deskami systému Z102, který používá pro komunikaci mezi procesorovou deskou a obecnými I/O

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

PRESTO. USB programátor. Uživatelská příručka

PRESTO. USB programátor. Uživatelská příručka PRESTO USB programátor Uživatelská příručka OBSAH 1. PRESTO 3 1.1. Použití 3 2. Obsah dodávky 3 3. Instalace 3 3.1. Instalace ovladačů 3 3.2. Instalace programu UP 4 4. Popis programovacího konektoru 5

Více

Univerzita Tomáše Bati ve Zlíně

Univerzita Tomáše Bati ve Zlíně Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Struktura logických obvodů Přednáška č. 10 Milan Adámek adamek@ft.utb.cz U5 A711 +420576035251 Struktura logických obvodů 1 Struktura logických

Více

Integrovaná střední škola, Sokolnice 496

Integrovaná střední škola, Sokolnice 496 Integrovaná střední škola, Sokolnice 496 Název projektu: Moderní škola Registrační číslo: CZ.1.07/1.5.00/34.0467 Název klíčové aktivity: III/2 - Inovace a zkvalitnění výuky prostřednictvím ICT Kód výstupu:

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor MĚŘENÍ Laboratorní cvičení z měření část 3-6-1 Teoretický rozbor Výukový materiál Číslo projektu: CZ.1.07/1.5.00/34.0093 Šablona: III/2 Inovace a zkvalitnění výuky prostřednictvím ICT Sada: 1 Číslo materiálu:

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

PK Design. Uživatelský manuál. Modul USB-FT245BM v2.2. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (7. 11.

PK Design. Uživatelský manuál. Modul USB-FT245BM v2.2. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (7. 11. Modul USB-FT245BM v2.2 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (7. 11. 04) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti modulu...4 2.2 Použití modulu...4

Více

PŘÍLOHY. PRESTO USB programátor

PŘÍLOHY. PRESTO USB programátor PŘÍLOHY PRESTO USB programátor 1. Příručka PRESTO USB programátor Popis indikátorů a ovládacích prvků Zelená LED (ON-LINE) - PRESTO úspěšně komunikuje s PC Žlutá LED (ACTIVE) - právě se komunikuje s uživatelskou

Více

FPGA intimně. Marek Vašut March 6, 2016

FPGA intimně. Marek Vašut March 6, 2016 March 6, 2016 Marek Vašut Custodian at U-Boot bootloader Linux kernel hacker oe-core contributor (Yocto/OE/Poky) FPGA enthusiast Obsah Úvod do FPGA Open-Source nastroje pro praci s FPGA Podrobnosti technologie

Více

Logické systémy a jejich návrh

Logické systémy a jejich návrh Kapitola 2 Logické systémy a jejich návrh 2.1 Logické funkce a jejich návrh Vstupní/výstupní písmeno - každá kombinace hodnot všech vstupních/výstupních proměnných. Na vstup se tedy může přivést jedno

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_46_Kombinační sítě Název školy

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

Aplikace. Hlásič SMS

Aplikace. Hlásič SMS Aplikace Hlásič SMS Strana 2 z 12 Obsah OBSAH...3 SMS HLÁSIČ...4 POPIS KOMUNIKAČNÍHO MODULU CGU 03...4 Obecný popis...4 Indikace stavu modulu...5 Hardwarová konfigurace...6 Nastavení konfigurace SMS hlásiče...7

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Dispositifs à semiconducteurs. Circuits intégrés. Deuxième partie: Circuits intégrés digitaux

Dispositifs à semiconducteurs. Circuits intégrés. Deuxième partie: Circuits intégrés digitaux ČESKÁ NORMA MDT 621.382 Srpen 1994 Polovodičové součástky INTEGROVANÉ OBVODY Část 2: Číslicové integrované obvody ČSN IEC 748-2 35 8798 Semiconductor devices. Integrated circuits. Part 2: Digital integrated

Více