SPARTAN - 3 Xilinx FPGA Device

Rozměr: px
Začít zobrazení ze stránky:

Download "SPARTAN - 3 Xilinx FPGA Device"

Transkript

1 SPARTAN - 3 Xilinx FPGA Device 1. Úvod: 1.2V řada SPARTAN-3 navazuje na úspěch předchozí řady: SPARTAN-IIE. Od architektury SPARTAN-IIE se liší v počtu systémových hradel a logických buněk, velikosti RAM, počtu I/O a implementací některých nových bloků do architektury jako jsou DCMs nebo násobičky. Počet systémových hradel se pohybuje od do Hlavní rysy architektury SPARTAN-3: nová 90nm technologie výroby až 5 miliónů systémových hradel nebo logických buněk hodinový signál až 326MHz 3 separátní napájecí zdroje: 1.2V, 2.5V, 3.3V až 784 I/O pinů, 622Mb/s přenosová rychlost pinu podpora až 17 úrovňových standardů Double Data Rate (DDR) podpora integrovaná struktura pro konstrukci rychlých sčítaček 4 až 104 integrovaných násobiček 18 x 18 JTAG port pro testování až 1872Kb blokové RAM až 520Kb distribuované RAM až 4 Digital Clock Manager (DCM) umožňující frekvenční syntézu 8 globalních hodinových linek plná podpora vývojového prostředí Xilinx ISE Tab. č. 1: přehled vyráběných obvodů

2 2. Architektura Architektura je složena z 5 základních funkčních/logických bloků: IOBs (Input/Output Blocks) řídí tok dat mezi I/O pinem a vnitřní logikou CLBs (Configurable Logic Blocks) obsahující LUTs (Look-Up Tables) na principu paměti RAM Block RAM umožňující ukládaní dat ve formátu 18Kb dual-port blocks. násobičky umožňující vynásobení dvou 18-bitových čísel DCM (Digital Clock Manager) poskytuje autokalibraci, plně digitální řešení distribuce zpoždění, násobení, dělení a fázový posun hodinového signálu Obr. č. 1: uspořádaní základních bloků v architektuře SPARTAN-3 využívá pro konfiguraci paměťových buněk RAM a tedy při vypnutí napájení je daná konfigurace ztracena. Proto je potřeba zajistit nahrání konfigurace při každém zapnutí FPGA. Konfigurační data jsou automaticky čtena z externího zdroje dat (PROM, JTAG, FPGA) a to buď sériově nebo paralelně. IOBs (Input Output Blocks): SPARTAN-3 umožňuje vybrat jeden ze 17 možných úrovňových standardů pro jednotlivé piny (single-ended) a jeden ze 6 pro rozdílový výstup (differential).

3 Tab. č. 2: podporované standardy pro I/O piny Tab. č. 3: počty single-ended I/O a differential I/O 3. Popis logiky 3.1. IOBs (Vstupně výstupní bloky) IOB poskytuje programovatelné obousměrné rozhranní mezi I/O pinem a interní logikou FPGA. Struktura IOBs obsahuje 3 základní signálové cesty: vstupní, výstupní a 3-stavová. Vstupní cesta přenáší data z pinu do vnitřní logiky přes volitelné programovatelné zpoždění na linku I. Další možné výstupy jsou IQ1 a IQ2 přes klopné obvody. Výstupní cesta z vnitřní logiky vede přes linky O1 a O2 na výstupní pin. 3-stavový výstup je řízen linkami T1 a T2.

4 Ve všech cestách jsou multiplexery pro výběr jedné ze dvou linek. (IQ1, IQ2; O1, O2; T1, T2). Linky vstupující do IOB mohou být invertovány, přičemž potřebný invertor je automaticky vložen do IOB. IOB obsahuje 3 páry klopných obvodů které lze volitelně nastavit jako hranou nebo úrovní řízený. Obr. č. 2: zjednodušené schéma interní struktury IOBs

5 Tab. č. 4: popis signálu klopného obvodu uvnitř IOB Použitím obou klopných obvodů ve výstupní cestě a speciálního multiplexeru přepínající obě vstupní linky je možné dosáhnout DDR přenos. Pro každý KO je vyráběn hodinový signál s danou polaritou. KO na vstupní straně umožňuje také přijímat DDR přenos. Jeden zachytává data na náběžnou hranu a druhý na sestupnou hranu hodinového signálu. Obr. č. 3: princip DDR přenosu v IOBs Volitelně lze naprogramovat na výstupu pull-up a pull-down rezistory. Dále každý pin obsahuje dvě diody pro ochranu proti kladnému i zápornému přepětí. Výstupní driver pinu lze naprogramovat na FAST nebo SLOW slew rate. Blok DCI (Digitally Controlled Impedance) umožňuje u každého pinu vložit zakončovací rezistor.

6 Tab. č. 5: DCI I/O standard

7 Tab. č. 6: zakončení DCI Obr. č. 4: Spartan-3 I/O banky

8 I/O jsou bankovány a DCI pracuje nezávisle pro každou z osmi bank I/O. Každá banka má dva referenční vstupy VRP a VRN k nastavení budiče a zakončovacího rezistoru. Pokud se nepoužije DCI tak VRP a VRN pracují jako normální I/O. Obr. č. 5: zapojení referenčních rezistorů Různé obvody ve stejném pouzdře jsou pinově kompatibilní a tak při potřebě větší logiky není třeba navrhovat novou desku, ale stačí jen výkonnější obvod CLBs (Configurable Logic Blocks) CLB je tvořena 4 LC (Logic Cell), které jsou uspořádány do dvojic (řezy) tak, že daná dvojce má společný carry přenos. Obr. č. 6: uspořádaní řezů uvnitř CLB Oba řezy obsahují dva logické funkční generátory, dva ukládací elementy, multiplexer, carry logiku. Těmito bloky jsou tvořeny logické, aritmetické a paměťové funkce. Levý pár má navíc další dvě funkce: ukládaní dat pomocí distribuované RAM a posun dat s 16-bitovým registrem.

9 Obr. č. 7: schéma levého páru v CLB LUT (Look-Up Table) je základní část pro implementaci logické funkce. LUT v levém páru může být použita také jako distribuovaná RAM nebo jako 16-bitový posuvný registr. Klopné obvody FFX y FFY mohou být řízeny úrovní nebo hranou. Pomocí multiplexeru F5MUX lze realizovat funkce 5 a více proměnných. Carry řetězec obsahuje multiplexery CYINIT, CY0F, CYMUXF, CY0G, CYMUXG a hradla XORF, XORG, GAND a FAND. Do každého řezu vstupují 4 datové linky (F1 F4 pro dolní řez) které vedou přímo do LUT. Výstup LUT je jeden a může vést 5 možnými cestami. přes multiplexer FXMUX na linku X přes multiplexery FXMUX a DXMUX na paměťový člen a výstupem je XQ řídí multiplexer CYMUXF v řetězci carry

10 přes hradlo XORF v řetězci carry a vykonává aritmetické operace na multiplexer F5MUX Každý řez má navíc přímou linku propojující vstup a výstup (BX, BXOUT) Funkční generátor je tvořen pomocí LUT na principu RAM 16 x 1 bit. Na LUT jsou přímo připojeny vstupní linky (F1 F4) které fungují jako adresová sběrnice. Datový sběrnice je výstup LUT a je 1-bitová. Pomocí LUT se nechá vytvořit jakákoli funkce 4 nezávislých proměnných. LUT také může být konfigurována jako distribuovaná paměť RAM velikosti 16 x 1 bit nebo jako 16-bitový posuvný registr. Registry se nechají zapojit do kaskády a tak vznikne 32bitový, 64bitový i vícebitový Bloková RAM Všechny obvody rodiny SPARTAN-3 obsahují blokovou RAM uspořádanou po blocích velikosti 18Kbit. Pro uložení velkého množství dat je efektivnější použít blokovou RAM než distribuovanou RAM. Kapacita paměti je 18432bitů bez parity nebo 16384bitů s paritou. Paměť také umožňuje dvouportový přístup může být konfigurována jako Single-Port nebo Dual-Port RAM. Paměť je na čipu rozmístěna po blocích tvořící sloupce. Tab. č. 7: velikosti blokové RAM v FPGA Obr. č. 8: datové cesty Dual-Port blokové RAM

11 Obr. č. 9: principielní schéma Dual-Port a Single-Port blokové RAM

12 Tab. č. 8: popis signálů blokové RAM Paměť lze konfigurovat do různých rozměrů. Dle šířky datového slova odpovídá šířka adresové sběrnice a také podle typu paměti (Dual-Port nebo Single-Port).

13 Tab. č. 8: možné konfigurace paměti 3.4. Násobičky Obvody SPARTAN-3 obsahují násobičky 18x18 bitů s 36bitovým výstupem. Mohou pracovat jako asynchronní i jako synchronní. Vstupní datové slovo může být reprezentováno dvojkovým doplňkem (buď 18bitové znaménkové nebo 17bitové neznaménkové). Kaskádním řazením lze vytvořit násobičky pracující s širším datovým slovem než jen 18bitů. Obr. č. 10: asynchronní a synchronní násobička Tab. č. 9: popis signálů násobičky 3.5. DCM (Digital Clock Manager) DCM řídí, upravuje a distribuuje hodinový signál po celém čipu. Plní 3 základní funkce: eliminace časového zpoždění, frekvenční syntéza, fázový posun signálu. Všechny obvody obsahují 4 DCM (kromě XC3S50, ten má pouze 2 DCM).

14 Obr. č. 11: funkční blok a přiřazené signály DCM DCM se skládá ze 4 základních bloků: DLL (Delay-Locked Loop) DFS (Digital Frequency Synthesizer) PS (Phase Shifter) Status Logic Obr. č. 12: zjednodušené schéma DLL Základní funkce DLL je vyrovnávání časového zpoždění a generování fázově posunutých signálů. DLL má 2 hodinové vstupy a 7 hodinových výstupů.

15 Tab. č. 10: popis signálů DLL 3.6. Globální síť hodinového signálu FPGA SPARTAN-3 obsahují 7 globálních hodinových signálů GCLK0-GCLK7. Ty vstupují do pole multiplexerů kde jsou přepnuty a pokračují do DCM nebo do globální sítě hodinového signálu. V DCM jsou upraveny a vedou zpět do pole multiplexerů a pokračují do globální sítě hodinového signálu. Obr. č. 13: globální propojovací síť hodinového signálu

16 3.7. Propojovací síť Propojovací síť (matice) je tvořena 4 druhy propojovacích linek. Long lines vhodné pro distribuci globálního signálu s malým zpožděním, propojuje každou šestou CLB Hex lines také vhodné pro vedení rychlých signálů s malým zpožděním, navíc umožňuje efektivnější propojení, protože jsou spojeny s každou třetí CLB Double lines spojují každou druhou CLB s vysokou flexibilitou propojení Direct lines propojuje nejbližší sousední CLB Obr. č. 14: typy propojovacích linek 4. Konfigurace SPARTAN-3 FPGA je konfigurován nahráním aplikačně specifické konfigurace do vnitřní konfigurační paměti z externího zdroje dat. SPARTAN-3 podporuje 5 konfiguračních módů jak ukazuje tabulka. Tab. č. 11: konfigurační módy a odpovídající nastavení pinů

17 Obr. č. 15: schéma pro sériovou konfiguraci Master i Slave Obr. č. 16: schéma pro paralelní konfiguraci Slave

18 Obr. č. 17: schéma pro paralelní konfiguraci Master 5. Elektrické parametry Tab. č. 12: maximální přípustné hodnoty

19 Tab. č. 13: doporučené pracovní hodnoty 6. Značení odvodů Obr. č. 18: značení pouzder

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Obvody Xilinx řady XC3000

Obvody Xilinx řady XC3000 Obvody Xilinx řady XC3000 Z řady XC3000 vychází čtyři nové rodiny obvodů XC3000A XC3000L XC3100A XC3100L Mají stejnou architekturu, vývojový program, návrhové a programové metodiky i stejné vlastnosti

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

PROGRAMOVATELNÁ LOGICKÁ POLE

PROGRAMOVATELNÁ LOGICKÁ POLE PROGRAMOVATELNÁ LOGICKÁ POLE Programovatelné součástky a zejména hradlová pole jsou velmi důležité prvky dnešní elektroniky. Díky nim si každý může vyrobit vlastní zákaznický integrovaný obvod šitý přesně

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a prodej

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Operační paměti počítačů PC

Operační paměti počítačů PC Operační paměti počítačů PC Dynamické paměti RAM operační č paměť je realizována čipy dynamických pamětí RAM DRAM informace uchovávána jako náboj na kondenzátoru nutnost náboj pravidelně obnovovat (refresh)

Více

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10.

PK Design. MB-XC3SxxxE-TQ144 v1.1. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10. MB-XC3SxxxE-TQ144 v1.1 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (10.10.2008) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné (?) zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury

6. Programovatelné struktury. PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury PLA, PAL, PROM, GAL struktury 6. Programovatelné struktury úvod Programovatelné obvodyřadíme mezi univerzální logické bloky, resp. programovatelné logické moduly. Obecné označení

Více

Prezentace do předmětu Architektury a použití programovatelných obvodů 2

Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Složité a méně běžné obvody PAL, sekvencery Obvody typu PAL jsou jedním z typů programovatelných logických obvodů (PLD). Jsou to

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné (?) zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Paměti Josef Horálek

Paměti Josef Horálek Paměti Josef Horálek Paměť = Paměť je pro počítač životní nutností = mikroprocesor z ní čte programy, kterými je řízen a také do ní ukládá výsledky své práce = Paměti v zásadě můžeme rozdělit na: = Primární

Více

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o.

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o. Zpracování obrazu v FPGA Leoš Maršálek ATEsystem s.r.o. Základní pojmy PROCESOROVÉ ČIPY Křemíkový čip zpracovávající obecné instrukce Různé architektury, pracují s různými paměti Výkon instrukcí je závislý

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Technická zpráva - FI - VG20102015006-2011 03 Ing. Filip Orság, Ph.D. Fakulta informačních technologií, Vysoké učení technické v Brně

Více

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J.

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň přednášky Druhá část. přednášky 12 Sériové rozhraní SPI, Sériové rozhraní IIC A4B38NVS, 2011, kat. měření,

Více

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím Převodník RS232 na RS485 nebo RS422 Průmyslové provedení s krytím. UC485P Katalogový list Vytvořen: 21.1.2005 Poslední aktualizace: 5.5 2008 12:30 Počet stran: 16 2008 Strana 2 UC485P OBSAH Základní informace...

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

Když procesor nestačí, FPGA zaskočí

Když procesor nestačí, FPGA zaskočí Když procesor nestačí, FPGA zaskočí Jan Fosfor Pospíšil 8. 12. 2015 Středisko UN*Xových technologií Úterní díl Bastlířských Střed Když procesor nestačí, FPGA zaskočí (8. 12. 2015) 2 Bastlířské středy (Ne)pravidelné

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Paměti EEPROM (1) 25/07/2006 1

Paměti EEPROM (1) 25/07/2006 1 Paměti EEPROM (1) EEPROM - Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

MSP 430F1611. Jiří Kašpar. Charakteristika

MSP 430F1611. Jiří Kašpar. Charakteristika MSP 430F1611 Charakteristika Mikroprocesor MSP430F1611 je 16 bitový, RISC struktura s von-neumannovou architekturou. Na mikroprocesor má neuvěřitelně velkou RAM paměť 10KB, 48KB + 256B FLASH paměť. Takže

Více

VUT EBEC2017 Projekt. Wiping Turn Indicator Audi TT

VUT EBEC2017 Projekt. Wiping Turn Indicator Audi TT Stránka 1 z 9 Obsah: 1. Cíl projektu 2. Dostupné pomůcky, postup řešení a hodnocení projektu 3. Stupeň 1: blikání jednou LED 4. Stupeň 2: blikání deseti LED 5. Stupeň 3: animace deseti LED 6. Stupeň 4:

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

UniPi 1.1 Lite Technologická dokumentace

UniPi 1.1 Lite Technologická dokumentace UniPi 1.1 Lite Technologická dokumentace Obsah 1 Úvodní představení produktu... 3 2 Popis produktu... 4 2.1 Využití GPIO pinů... 5 2.2 GPIO konektor... 5 2.3 Napájení... 6 2.4 Montáž Raspberry Pi k UniPi

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12)

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky Sériová rozhraní rozhraní

Více

Systémy pro sběr a přenos dat

Systémy pro sběr a přenos dat Systémy pro sběr a přenos dat Centralizované SPD VME, VXI Compact PCI, PXI, PXI Express Sběrnice VME 16/32/64 bitová paralelní sběrnice pro průmyslové aplikace Počátky v roce 1981 neustále se vyvíjí původní

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

TECHNICKÝ POPIS MODULU GRAFIK =============================

TECHNICKÝ POPIS MODULU GRAFIK ============================= listů: 8 list : 1 TECHNICKÝ POPIS MODULU GRAFIK ============================= zpracoval: Nevoral schválil: Cajthaml ZPA, k.p. Nový Bor, listopad 1985 4-151-00342-4 list: 1 list: 2 1. VŠEOBECNĚ Obvody realizované

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT. Příručka uživatele. Střešovická 49, Praha 6, s o f c o s o f c o n.

PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT. Příručka uživatele. Střešovická 49, Praha 6,   s o f c o s o f c o n. PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT Příručka uživatele Střešovická 49, 162 00 Praha 6, e-mail: s o f c o n @ s o f c o n. c z tel./fax : (02) 20 61 03 48 / (02) 20 18 04 54, http :// w w w. s o f

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Základní uspořádání pamětí MCU

Základní uspořádání pamětí MCU Základní uspořádání pamětí MCU Harwardská architektura. Oddělený adresní prostor kódové a datové. Používané u malých MCU a signálových procesorů. Von Neumannova architektura (Princetonská). Kódová i jsou

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI 1 Architektura SCSI 2 ParalelnírozhraníSCSI Sběrnice typu multimaster. Max. 8 resp. 16 zařízení. Různé elektrické provedení SE (Single Ended) HVD (High Voltage Differential) LVD (Low Voltage Differential)

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ BAKALÁŘSKÁ PRÁCE MOBILNÍ PAMĚŤOVÝ OSCILOSKOP REALIZOVANÝ POMOCÍ FPGA SPARTAN 3 Studijní obor: Vedoucí práce: Kybernetika a měření Ing. Jiří

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Testování obvodů přístup k obvodům omezen porty / vývody In-Circuit Testery (Bed of Nails) Fine Pitch / MCM Multilayer Coating

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

UC485. Převodník linky RS232 na RS485 nebo RS422 s galvanickým oddělením

UC485. Převodník linky RS232 na RS485 nebo RS422 s galvanickým oddělením Převodník linky RS232 na RS485 nebo RS422 s galvanickým oddělením. Katalogový list Vytvořen: 22.6.2004 Poslední aktualizace: 5.listopadu 2007 08:30 Počet stran: 20 2007 Strana 2 OBSAH Základní informace...

Více

Rozhraní paměti Flash

Rozhraní paměti Flash České vysoké učení technické v Praze Fakulta elektrotechnická Bakalářská práce Rozhraní paměti Flash Zdeněk Mračno Vedoucí práce: Ing. Miloš Bečvář Studijní program: Elektrotechnika a informatika strukturovaný

Více

Řízení IO přenosů DMA řadičem

Řízení IO přenosů DMA řadičem Řízení IO přenosů DMA řadičem Doplňující text pro POT K. D. 2001 DMA řadič Při přímém řízení IO operací procesorem i při použití přerušovacího systému je rychlost přenosu dat mezi IO řadičem a pamětí limitována

Více

architektura mostů severní / jižní most (angl. north / south bridge) 1. Čipové sady s architekturou severního / jižního mostu

architektura mostů severní / jižní most (angl. north / south bridge) 1. Čipové sady s architekturou severního / jižního mostu Čipová sada Čipová sada (chipset) je hlavní logický integrovaný obvod základní desky. Jeho úkolem je řídit komunikaci mezi procesorem a ostatními zařízeními a obvody. V obvodech čipové sady jsou integrovány

Více

Paměti SDRAM (synchronní DRAM)

Paměti SDRAM (synchronní DRAM) Paměti SDRAM (synchronní DRAM) 1 Paměti SDRAM Cíl přednášky: - Shrnout předcházející techniky řízení pamětí. - Prezentovat techniku SDRAM, postihnout její výrazné rysy a odlišnosti od předcházejících typů.

Více

Komunikace modulu s procesorem SPI protokol

Komunikace modulu s procesorem SPI protokol Komunikace modulu s procesorem SPI protokol Propojení dvouřádkového LCD zobrazovače se sběrnicí SPI k procesotru (dále již jen MCU microcontroller unit) a rozložení pinů na HSES LCD modulu. Komunikace

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 8 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

ESII Roletová jednotka

ESII Roletová jednotka Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: ESII-2.12.3 Roletová jednotka Obor: Elektrikář - silnoproud Ročník: 2. Zpracoval(a): Bc. Josef Dulínek Střední průmyslová škola Uherský Brod, 2010 OBSAH 1.

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

PŘÍLOHY. PRESTO USB programátor

PŘÍLOHY. PRESTO USB programátor PŘÍLOHY PRESTO USB programátor 1. Příručka PRESTO USB programátor Popis indikátorů a ovládacích prvků Zelená LED (ON-LINE) - PRESTO úspěšně komunikuje s PC Žlutá LED (ACTIVE) - právě se komunikuje s uživatelskou

Více

Paměť počítače. 0 (neprochází proud) 1 (prochází proud)

Paměť počítače. 0 (neprochází proud) 1 (prochází proud) Paměť počítače Paměť je nezbytnou součástí jakéhokoli počítače. Slouží k uložení základních informací počítače, operačního systému, aplikačních programů a dat uživatele. Počítače jsou vybudovány z bistabilních

Více

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování 8. Rozšiřující deska Evb_IO a Evb_Motor Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem

Více

AGP - Accelerated Graphics Port

AGP - Accelerated Graphics Port AGP - Accelerated Graphics Port Grafiku 3D a video bylo možné v jisté vývojové etapě techniky pracovních stanic provozovat pouze na kvalitních pracovních stanicích (cena 20 000 USD a více) - AGP představuje

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

Paměti v PC - souhrn

Paměti v PC - souhrn Paměti v PC - souhrn V současném PC se vyskytuje podstatně více různých typů pamětí hierarchicky uspořádaných než v prvních typech. Zvýšila se kapacita pamětí, získávání dat z pamětí o velké kapacitě je

Více

Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic

Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic 1 Cíl přednášky Zabývat se principy využití principů přerušení. Popsat, jak se tyto principy odrazily v konstrukci systémových

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Přednášky o výpočetní technice. Hardware teoreticky. Adam Dominec 2010

Přednášky o výpočetní technice. Hardware teoreticky. Adam Dominec 2010 Přednášky o výpočetní technice Hardware teoreticky Adam Dominec 2010 Rozvržení Historie Procesor Paměť Základní deska přednášky o výpočetní technice Počítací stroje Mechanické počítačky se rozvíjely už

Více

SEP2 Sensor processor. Technická dokumentace

SEP2 Sensor processor. Technická dokumentace SEP2 Sensor processor Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2010 Obsah 1. Úvod...3 2. Zapojení zařízení...4 2.1. Připojení napájecího napětí...4 2.2. Připojení

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Informační a komunikační technologie

Informační a komunikační technologie Informační a komunikační technologie 7. www.isspolygr.cz Vytvořil: Ing. David Adamovský Strana: 1 Škola Integrovaná střední škola polygrafická Ročník Název projektu 1. ročník SOŠ Interaktivní metody zdokonalující

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Principy konstrukce rozvodů V/V sběrnic

Principy konstrukce rozvodů V/V sběrnic Principy konstrukce rozvodů V/V sběrnic Historie a současnost Rozvody tzv. sálových počítačů - výrazně delší kabely než v dnešních sestavách např. personálních počítačů, rozvody realizovány paralelně,

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Technická univerzita v Liberci

Technická univerzita v Liberci Technická univerzita v Liberci Fakulta mechatroniky, informatiky a mezioborových studií Rekonfigurovatelný systém na FPGA obvodu Autoreferát disertační práce Liberec 2014 Ing. Tomáš Drahoňovský Rekonfigurovatelný

Více

Vstupní terminál LOG3

Vstupní terminál LOG3 Vstupní terminál LOG3 Identifikační systém ACS-line Návod pro instalaci Verze hardware LOG3.6 od verze firmware: 2.41 Popis LOG3 v2,41.doc - strana 1 (celkem 8) Popis funkce Modul LOG3 slouží pro ovládání

Více

Programovatelný kanálový procesor ref. 5179

Programovatelný kanálový procesor ref. 5179 Programovatelný kanálový procesor Programovatelný kanálový procesor je určen ke zpracování digitálního (COFDM, QAM) nebo analogového TV signálu. Procesor může být naprogramován jako kanálový konvertor

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ BAKALÁŘSKÁ PRÁCE MOBILNÍ LOGICKÝ ANALYZÁTOR REALIZOVANÝ POMOCÍ FPGA SPARTAN 3 2006 Jiří Svozil Poděkování: Tímto bych rád poděkoval vedoucímu

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Principy konstrukce rozvodů V/V sběrnic

Principy konstrukce rozvodů V/V sběrnic Principy konstrukce rozvodů V/V sběrnic Historie a současnost Rozvody tzv. sálových počítačů - výrazně delší kabely než v dnešních sestavách počítačů, rozvody realizovány paralelně, bylo nutné řešit problémy

Více

Paměti personálních počítačů, vývoj pojmů, technologie, organizace

Paměti personálních počítačů, vývoj pojmů, technologie, organizace Paměti personálních počítačů, vývoj pojmů, technologie, organizace 1 Cíl přednášky Popsat architektury vnitřních pamětí personálních počítačů. Zabývat se vývojem pojmů, technologií, organizací. Vývoj technologie

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 14 - X38MIP -2009, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral Interface) - původ firma Motorola SPI není typ

Více