Testování a verifikace softwaru

Rozměr: px
Začít zobrazení ze stránky:

Download "Testování a verifikace softwaru"

Transkript

1 Testování a verifikace softwaru Radek Mařík ČVUT FEL Katedra telekomunikační techniky, K října 2017 Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

2 Vize předmětu Výchozí principy Důraz na teorii. Možnosti testování rozsáhlých složitých softwarových baĺıků Teorie testování Shrnutí základních principů testování softwaru Optimalizace počtu testů, Strukturální testování Formální specifikace a verifikace softwaru Testování automatů Formální metody Model checking Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

3 Vize předmětu Výchozí principy Důraz na teorii. Možnosti testování rozsáhlých složitých softwarových baĺıků Teorie testování Shrnutí základních principů testování softwaru Optimalizace počtu testů, Strukturální testování Formální specifikace a verifikace softwaru Testování automatů Formální metody Model checking Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

4 Vize předmětu Výchozí principy Důraz na teorii. Možnosti testování rozsáhlých složitých softwarových baĺıků Teorie testování Shrnutí základních principů testování softwaru Optimalizace počtu testů, Strukturální testování Formální specifikace a verifikace softwaru Testování automatů Formální metody Model checking Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

5 Obsah přednášek 1 Úvod do testování a verifikace SW. 2 Optimalizace testovacích sad. 3 Softwarové chyby, kategorizace chyb. Tradiční metody testování. 4 Strukturované testování. 5 Alloy - specifikační systém. 6 Testování stavových automatů. 7 Verifikace metodou model checking I (UPPAAL) 8 Verifikace metodou model checking II (Temporální logiky) 9 Verifikace metodou model checking III 10 Formální metody - Z notace. 11 Formální metody - PVS. 12 Testování objektově-orientovaného softwaru. 13 Hodnocení spolehlivosti softwaru. 14 Statistické testování softwaru. Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

6 Semináře 1 Zadání projektu. Vytvoření konta. Instalace softwaru 2 Optimalizace pomocí ortogonálních poĺı a latinských čtverců. 3 Vytváření testů pro řídicí tok. 4 Vytváření testů pro datový tok. 5 Formální specifikace systému - Alloy 6 Testování stavového automatu 7 Model checking - UPPAAL, modelová úloha 8 Model checking - semestrální úloha 9 Model checking - semestrální úloha 10 Model checking - semestrální úloha 11 Model checking - semestrální úloha Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

7 Organizace předmětu Zápočet (max. 40 bodů) Zkouška písemná část, 24 bodů, 2 příklady, 1 hodina, materiály povoleny písemná část, 24 bodů, 4 otázky, 1 hodina ústní obhajoba, 12 bodů Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

8 Doporučená literatura Přednášky [Bei90] Boris Beizer: Software Testing Techniques WWW software testing [Kru99] Rational Unified Process Radek Mařík Testování a verifikace softwaru 4. října / 6

9 Boris Beizer. Software Testing Techniques. Van Nostrand Reinhold, New York, 2 edition, Philippe Kruchten. The Rational Unified Process. Addison-Wesley, Radek Mařík (radek.marik@fel.cvut.cz) Testování a verifikace softwaru 4. října / 6

Obecná teorie systémů

Obecná teorie systémů Obecná teorie systémů přednáší: R. Šára cvičí: J. Kostlivá, D. Martinec, M. Perďoch http://cmp.felk.cvut.cz/cmp/courses/ots/curr/ http://cyber.felk.cvut.cz/teaching/ ots@cmp.felk.cvut.cz (dotazy ke cvičení)

Více

Klasická metodologie testování

Klasická metodologie testování Klasická metodologie testování Radek Mařík ČVUT FEL, K13132 October 2, 2014 Radek Mařík (marikr@fel.cvut.cz) Klasická metodologie testování October 2, 2014 1 / 55 Obsah 1 Softwarová chyba Ekonomika softwarového

Více

Klasická metodologie testování

Klasická metodologie testování Klasická metodologie testování Radek Mařík ČVUT FEL, K13133 September 6, 2011 Radek Mařík (marikr@felk.cvut.cz) Klasická metodologie testování September 6, 2011 1 / 55 Obsah 1 Základní terminologie testování

Více

Klasické metodiky softwarového inženýrství I N G M A R T I N M O L H A N E C, C S C. Y 1 3 A N W

Klasické metodiky softwarového inženýrství I N G M A R T I N M O L H A N E C, C S C. Y 1 3 A N W Klasické metodiky softwarového inženýrství I N G M A R T I N M O L H A N E C, C S C. Y 1 3 A N W Osnova přednášky Co to je softwarové inženýrství Softwarový proces Metodika a metoda Evoluce softwarových

Více

2 Životní cyklus programového díla

2 Životní cyklus programového díla 2 Životní cyklus programového díla Typické etapy: 1. Specifikace požadavků - specifikace problému - analýza požadavků 2. Vývoj programu - návrh - kódování (programování) 3. Verifikace a validace 4. Provoz

Více

KIV/ASWI 2007/2008 Pokročilé softwarové inženýrství. Cíle předmětu Organizační informace Opakování

KIV/ASWI 2007/2008 Pokročilé softwarové inženýrství. Cíle předmětu Organizační informace Opakování KIV/ASWI 2007/2008 Pokročilé softwarové inženýrství Přemysl Brada Cíle předmětu Organizační informace Opakování Cíl předmětu Praktické zkušenosti sw proces a iterativní vývoj jaksi mimochodem

Více

Václav Matoušek KIV. Umělá inteligence a rozpoznávání. Václav Matoušek / KIV

Václav Matoušek KIV. Umělá inteligence a rozpoznávání.   Václav Matoušek / KIV Umělá inteligence a rozpoznávání Václav Matoušek KIV e-mail: matousek@kiv.zcu.cz 0-1 Sylabus předmětu: Datum Náplň přednášky 16. 2. (3h) 2. 3. (4h) 17. 3. (5h) 14. 4. (3h) Úvod, historie a vývoj UI, základní

Více

Obsah. Úvod 9 Poděkování 10 Co je obsahem této knihy 10 Pro koho je tato kniha určena 11 Zpětná vazba od čtenářů 11 Errata 11

Obsah. Úvod 9 Poděkování 10 Co je obsahem této knihy 10 Pro koho je tato kniha určena 11 Zpětná vazba od čtenářů 11 Errata 11 Úvod 9 Poděkování 10 Co je obsahem této knihy 10 Pro koho je tato kniha určena 11 Zpětná vazba od čtenářů 11 Errata 11 KAPITOLA 1 Co je třeba znát aneb důležité pojmy 13 Krátce o požadavcích 13 Stakeholdeři

Více

IB013 Logické programování I Hana Rudová. jaro 2011

IB013 Logické programování I Hana Rudová. jaro 2011 IB013 Logické programování I Hana Rudová jaro 2011 Hodnocení předmětu Zápočtový projekt: celkem až 40 bodů Průběžná písemná práce: až 30 bodů (základy programování v Prologu) pro každého jediný termín:

Více

8 Přehled OO metodik (metod, metodologií)

8 Přehled OO metodik (metod, metodologií) 8 Přehled OO metodik (metod, metodologií) 8.1 OO metodiky konce 80. a začátku 90.let - všechny populární OO metodiky předpokládají, že: a) zadavatel má jasný názor na svoje požadavky, b) zadavatel a vývojáři

Více

8 Přehled OO metodik (metod, metodologií)

8 Přehled OO metodik (metod, metodologií) 8 Přehled OO metodik (metod, metodologií) 8.1 OO metodiky konce 80. a začátku 90.let - všechny populární OO metodiky předpokládají, že: a) zadavatel jasný názor na svoje požadavky, b) zadavatel a vývojáři

Více

Databázové systémy úvod

Databázové systémy úvod Databázové systémy úvod Michal Valenta Katedra softwarového inženýrství Fakulta informačních technologií České vysoké učení technické v Praze c Michal Valenta, 2016 BI-DBS, LS 2015/16 https://edux.fit.cvut.cz/courses/bi-dbs/

Více

Unifikovaný modelovací jazyk UML

Unifikovaný modelovací jazyk UML Unifikovaný modelovací jazyk UML Karel Richta katedra počíta tačů FEL ČVUT Praha richta@fel fel.cvut.czcz Motto: Komunikačním m prostředkem informační komunity se postupem času stala angličtina. Chcete-li

Více

Informatika a výpočetní technika 1. roč./1. sem. 1. roč./2. sem. 2. roč./3. sem. 1 kr. Povinné předměty pro obor IVT

Informatika a výpočetní technika 1. roč./1. sem. 1. roč./2. sem. 2. roč./3. sem. 1 kr. Povinné předměty pro obor IVT 1. roč./1. sem. 1. roč./2. sem. 2. roč./3. sem. 2. roč./4. sem. Semestrální Semestrální Diplomový Diplomový I I 460-4064/01 460-4067/01 460-4095/01 460-4096/01 460-4065/01 470-4405/01 Povinně volitelné

Více

Matematická indukce a správnost programů. Základy diskrétní matematiky, BI-ZDM ZS 2011/12, Lekce 13

Matematická indukce a správnost programů. Základy diskrétní matematiky, BI-ZDM ZS 2011/12, Lekce 13 Matematická indukce a správnost programů doc. RNDr. Josef Kolář, CSc. Katedra teoretické informatiky FIT České vysoké učení technické v Praze c Josef Kolar, 2011 Základy diskrétní matematiky, BI-ZDM ZS

Více

Návrh softwarových systémů - úvod, motivace

Návrh softwarových systémů - úvod, motivace Návrh softwarových systémů - úvod, motivace Jiří Šebek, Martin Tomášek Návrh softwarových systémů (B6B36NSS) Obsah Motivace Integrace s ostatními obory SI Kdo / co ovlivňuje cílový SW Modely, metodiky

Více

Modelování procesů s využitím MS Visio.

Modelování procesů s využitím MS Visio. Modelování procesů s využitím MS Visio jan.matula@autocont.cz Co je to modelování procesů? Kreslení unifikovaných či standardizovaných symbolů, tvarů a grafů, které graficky znázorňují hlavní, řídící nebo

Více

Unbounded Model Checking

Unbounded Model Checking Unbounded Model Checking Stefan Ratschan Katedra číslicového návrhu Fakulta informačních technologíı České vysoké učení technické v Praze 25. října 2011 Evropský sociální fond Praha & EU: Investujeme do

Více

CASE. Jaroslav Žáček

CASE. Jaroslav Žáček CASE Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/ Co znamená CASE? Definice dle SEI A CASE tool is a computer-based product aimed at supporting one or more software engineering activities

Více

ÚVOD DO SOFTWAROVÉHO INŽENÝRSTVÍ

ÚVOD DO SOFTWAROVÉHO INŽENÝRSTVÍ ÚVOD DO SOFTWAROVÉHO INŽENÝRSTVÍ Předmětem softwarového inženýrství jsou metodiky pro řízení vývoje softwaru. Proč potřebujeme tyto metodiky? Čím je vývoje softwaru specifický oproti jiným odvětvím? SOFTWAROVÉ

Více

E-learning v cestovním ruchu. Josef Zelenka

E-learning v cestovním ruchu. Josef Zelenka E-learning v cestovním ruchu Josef Zelenka E-learning v CR - důvody Cena Flexibilita změny oboru Dostupnost vzdělávání (celoživotního) Jistota dostupnosti podkladů pro studium Možnost přizpůsobit se různým

Více

Návrh (příloha žádosti o rozšíření akreditace)

Návrh (příloha žádosti o rozšíření akreditace) Návrh 2016-04 (příloha žádosti o rozšíření akreditace) Průvodce bakalářským kombinovaným studiem na katedře informatiky PřF UJEP studijní program Aplikovaná informatika se studijním oborem Informační systémy

Více

Návrh softwarových systém. Návrh softwarových systémů

Návrh softwarových systém. Návrh softwarových systémů Návrh softwarových systém ů - úvod, motivace Jiří Šebek Návrh softwarových systémů (B6B36NSS) Obsah Motivace Integrace s ostatními obory SI Modely, metodiky SI Verzování SW 2 Úvod Motivace SI Velké projekty

Více

KIG/1GIS2. Geografické informační systémy. rozsah: 2 hod přednáška, 2 hod cvičení způsob ukončení: zápočet + zkouška

KIG/1GIS2. Geografické informační systémy. rozsah: 2 hod přednáška, 2 hod cvičení způsob ukončení: zápočet + zkouška Geografické informační systémy KIG/1GIS2 rozsah: 2 hod přednáška, 2 hod cvičení způsob ukončení: zápočet + zkouška vyučující: e-mail: Ing. Jitka Elznicová, Ph.D. jitka.elznicova@ujep.cz Konzultační hodiny:

Více

ČVUT FEL Katedra telekomunikační techniky, K prosince Radek Mařík Ověřování modelů II 6. prosince / 39

ČVUT FEL Katedra telekomunikační techniky, K prosince Radek Mařík Ověřování modelů II 6. prosince / 39 Ověřování modelů II Radek Mařík ČVUT FEL Katedra telekomunikační techniky, K13132 6. prosince 2017 Radek Mařík (radek.marik@fel.cvut.cz) Ověřování modelů II 6. prosince 2017 1 / 39 Obsah 1 Temporální logiky

Více

Česká zemědělská univerzita v Praze. Provozně ekonomická fakulta. Katedra informačních technologií

Česká zemědělská univerzita v Praze. Provozně ekonomická fakulta. Katedra informačních technologií Česká zemědělská univerzita v Praze Provozně ekonomická fakulta Katedra informačních technologií Teze diplomové práce Analýza a návrh informačního systému Miloš Rajdl 2012 ČZU v Praze 1 Souhrn Diplomová

Více

Umělá inteligence a rozpoznávání

Umělá inteligence a rozpoznávání Václav Matoušek KIV e-mail: matousek@kiv.zcu.cz 0-1 Sylabus předmětu: Datum Náplň přednášky 11. 2. Úvod, historie a vývoj UI, základní problémové oblasti a typy úloh, aplikace UI, příklady inteligentních

Více

SYSTÉM SCREENS SYSTEM SCREENS

SYSTÉM SCREENS SYSTEM SCREENS SYSTÉM SCREENS SYSTEM SCREENS F. Vaněk 1.LF UK Praha, gyn.por.klinika Abstrakt Systém screens je softwarový nástroj na zvýšení kvality výuky, která je vázána na práci s PC. V základní podobě umožňuje vyučujícímu

Více

Název předmětu: Školní rok: Forma studia: Studijní obory: Ročník: Semestr: Typ předmětu: Rozsah a zakončení předmětu:

Název předmětu: Školní rok: Forma studia: Studijní obory: Ročník: Semestr: Typ předmětu: Rozsah a zakončení předmětu: Plán předmětu Název předmětu: Algoritmizace a programování (PAAPK) Školní rok: 2007/2008 Forma studia: Kombinovaná Studijní obory: DP, DI, PSDPI, OŽPD Ročník: I Semestr: II. (letní) Typ předmětu: povinný

Více

Datová věda (Data Science) akademický navazující magisterský program

Datová věda (Data Science) akademický navazující magisterský program Datová věda () akademický navazující magisterský program Reaguje na potřebu, kterou vyvolala rychle rostoucí produkce komplexních, obvykle rozsáhlých dat ve vědě, v průmyslu a obecně v hospodářských činnostech.

Více

SYLABUS IT V. Jiří Kubica. Ostrava 2011

SYLABUS IT V. Jiří Kubica. Ostrava 2011 P MODULU SYLABUS IT V DÍLČÍ ČÁST PROGRAMOVÁNÍ BUSINESS APLIKACÍ PODNIKU Bronislav Heryán Jiří Kubica Ostrava 20 : Autoři: Vydání: Počet stran: Tisk: Vydala: Sylabus modulu IT v podniku Programování business

Více

SEMESTRÁLNÍ PRÁCE. Leptání plasmou. Ing. Pavel Bouchalík

SEMESTRÁLNÍ PRÁCE. Leptání plasmou. Ing. Pavel Bouchalík SEMESTRÁLNÍ PRÁCE Leptání plasmou Ing. Pavel Bouchalík 1. ÚVOD Tato semestrální práce obsahuje písemné vypracování řešení příkladu Leptání plasmou. Jde o praktickou zkoušku znalostí získaných při přednáškách

Více

A5M33IZS Informační a znalostní systémy. O čem předmět bude? Úvod do problematiky databázových systémů

A5M33IZS Informační a znalostní systémy. O čem předmět bude? Úvod do problematiky databázových systémů A5M33IZS Informační a znalostní systémy O čem předmět bude? Úvod do problematiky databázových systémů Co se dozvíte? Návrh datových struktur (modelování relačních dat) Relační modelování úlohy z oblasti

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

Architektura softwarových systémů

Architektura softwarových systémů Architektura softwarových systémů Ing. Jiří Mlejnek Katedra softwarového inženýrství Fakulta informačních technologií České vysoké učení technické v Praze Jiří Mlejnek, 2011 jiri.mlejnek@fit.cvut.cz Softwarové

Více

A4M33CPM CORPORATE PERFORMANCE MANAGEMENT (CPM) Přednáška č. 01

A4M33CPM CORPORATE PERFORMANCE MANAGEMENT (CPM) Přednáška č. 01 A4M33CPM CORPORATE PERFORMANCE MANAGEMENT (CPM) Přednáška č. 01 Ing. Pavel Náplava, Centrum znalostního managementu Fakulta elektrotechnická, ČVUT v Praze Centrum znalostního managementu, Katedra kybernetiky

Více

Globální strategie, IT strategie, podnikové procesy. Jaroslav Žáček

Globální strategie, IT strategie, podnikové procesy. Jaroslav Žáček Globální strategie, IT strategie, podnikové procesy Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/ Globální podniková strategie Co budeme dělat? Jak to budeme dělat? Jak využijeme IT systémy?

Více

MANAŽERSKÉ INFORMAČNÍ SYSTÉMY

MANAŽERSKÉ INFORMAČNÍ SYSTÉMY metodický list č. 1 Úvodem: Protože předmětu manažerské informační systémy (MIS) je vyhrazeno ve studijním plánu kombinovaného studia pouze 10 prezenční hodin (5 dvouhodinových bloků), je nezbytné, abyste

Více

Y13ANW ÚVOD DO WEBOVÝCH METODIK. Ing. Martin Molhanec, CSc.

Y13ANW ÚVOD DO WEBOVÝCH METODIK. Ing. Martin Molhanec, CSc. Y13ANW ÚVOD DO WEBOVÝCH METODIK Ing. Martin Molhanec, CSc. Metodika softwarové inženýrství Popisuje, jakým způsobem realizovat softwarové dílo (produkt, program, informační systém, webové sídlo, službu,

Více

L A TEX Barevné profily tiskových zařízení (tiskárny, plotry)

L A TEX Barevné profily tiskových zařízení (tiskárny, plotry) Semestrální práce z předmětu Kartografická polygrafie a reprografie L A TEX Barevné profily tiskových zařízení (tiskárny, plotry) Autor: Petr Douša, Jan Antropius Editor: Ivana Řezníková Praha, duben 2011

Více

Stefan Ratschan. Fakulta informačních technologíı. Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 1 / 19

Stefan Ratschan. Fakulta informačních technologíı. Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 1 / 19 Modelování a Analýza Systémů Stefan Ratschan Katedra číslicového návrhu Fakulta informačních technologíı České vysoké učení technické v Praze 19. září 2010 Evropský sociální fond Praha & EU: Investujeme

Více

Vývoj IS - strukturované paradigma II

Vývoj IS - strukturované paradigma II Milan Mišovič (ČVUT FIT) Pokročilé informační systémy MI-PIS, 2011, Přednáška 05 1/18 Vývoj IS - strukturované paradigma II Prof. RNDr. Milan Mišovič, CSc. Katedra softwarového inženýrství Fakulta informačních

Více

Úloha 1. Úloha 2. Úloha 3. Text úlohy. Text úlohy. Text úlohy

Úloha 1. Úloha 2. Úloha 3. Text úlohy. Text úlohy. Text úlohy Úloha 1 Zkratka ERP jako celopodniková transakční aplikace znamená: a. Enterprise Route Planning b. Enterprise Resource Planning c. Enterprise Re-implementation Planning d. Enterprise Resource Processing

Více

ADMINISTRACE POČÍTAČOVÝCH SÍTÍ. OPC Server

ADMINISTRACE POČÍTAČOVÝCH SÍTÍ. OPC Server ADMINISTRACE POČÍTAČOVÝCH SÍTÍ OPC Server Funkce a využití v průmyslové automatizaci Jiří NOSEK 2011 Co je OPC Server? OPC = Open Process Control (původně OLE for Process Control) sada specifikací průmyslového

Více

Gymnázium Uherské Hradiště Charakteristika volitelných předmětů 3. ročník (dvouhodinové předměty, žák si vybírá dva)

Gymnázium Uherské Hradiště Charakteristika volitelných předmětů 3. ročník (dvouhodinové předměty, žák si vybírá dva) Gymnázium Uherské Hradiště Charakteristika volitelných předmětů 3. ročník (dvouhodinové předměty, žák si vybírá dva) Seminář z českého jazyka Rozšiřuje, prohlubuje a procvičuje učivo gramatiky, slohu a

Více

Počítačová grafika 2 (POGR2)

Počítačová grafika 2 (POGR2) Počítačová grafika 2 (POGR2) Pavel Strachota FJFI ČVUT v Praze 19. února 2015 Kontakt Ing. Pavel Strachota, Ph.D. Katedra matematiky Trojanova 13, místnost 033a E-mail: pavel.strachota@fjfi.cvut.cz WWW:

Více

Semestrální práce ke kurzu 4IT421 Zlepšování procesů budování IS. Porovnání disciplíny Test v RUP a ISO/IEC 29119

Semestrální práce ke kurzu 4IT421 Zlepšování procesů budování IS. Porovnání disciplíny Test v RUP a ISO/IEC 29119 Semestrální práce ke kurzu 4IT421 Zlepšování procesů budování IS Semestr LS 2017/2018 Autoři jméno, příjmení, xname Téma Jan Kmínek, xkmij00 Tho Nguyen Manh, xngut64 Tomáš Krauz, krat03 Porovnání disciplíny

Více

X36SIN: Softwarové inženýrství. Životní cyklus a plánování

X36SIN: Softwarové inženýrství. Životní cyklus a plánování X36SIN: Softwarové inženýrství Životní cyklus a plánování 1 Kontext Minule jsme si řekli, co to je deklarace záměru, odborný článek, katalog požadavků, seznam aktérů a seznam událostí. Seznam aktérů a

Více

Komputerizace problémových domén

Komputerizace problémových domén Milan Mišovič (ČVUT FIT) Pokročilé informační systémy MI-PIS, 2011, Přednáška 03 1/19 Komputerizace problémových domén Prof. RNDr. Milan Mišovič, CSc. Katedra softwarového inženýrství Fakulta informačních

Více

Informace pro žadatele o certifikát ISTQB Certified Tester Foundation Level (ISTQB CTFL)

Informace pro žadatele o certifikát ISTQB Certified Tester Foundation Level (ISTQB CTFL) Dokument CSQ-CERT certifikace osob Číslo: ČSJ CE 221 Výtisk č.: Strana: 1 Celkem stran: 14 Počet příloh: 0 Informace pro žadatele o certifikát ISTQB Certified Tester Foundation Level (ISTQB CTFL) (Certifikační

Více

Vývoj řízený testy Test Driven Development

Vývoj řízený testy Test Driven Development Vývoj řízený testy Test Driven Development Richard Salač, Ondřej Lanč Fakulta jaderná a fyzikálně inženýrská České vysoké učení technické v Praze 23. - 30. 10. 2012 Obsah 1 Testování 2 Klasický přístup

Více

1 Úvod 1.1 Vlastnosti programového vybavení (SW)

1 Úvod 1.1 Vlastnosti programového vybavení (SW) 1 Úvod 1.1 Vlastnosti programového vybavení (SW) - dávkové zpracování - omezená distribuce - zakázkový SW - distribuované systémy - vestavěná inteligence - laciný HW - vliv zákazníka 1950 1960 1970 1980

Více

Semináˇr Java X J2EE Semináˇr Java X p.1/23

Semináˇr Java X J2EE Semináˇr Java X p.1/23 Seminář Java X J2EE Seminář Java X p.1/23 J2EE Složitost obchodních aplikací robusní, distribuované, spolehlivé aplikace s transakcemi na straně serveru, klientské aplikace co nejjednodušší Snaha : Návrh,

Více

Servisně orientovaná architektura Základ budování NGII

Servisně orientovaná architektura Základ budování NGII Servisně orientovaná architektura Základ budování NGII Jan Růžička Institute of geoinformatics VSB-TU Ostrava 17.listopadu, 70833 Ostrava-Poruba Poruba, jan.ruzicka@vsb.cz NGII NGII složitý propletenec,

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Tvorba informačních systémů

Tvorba informačních systémů Tvorba informačních systémů Michal Krátký 1, Miroslav Beneš 1 1 Katedra informatiky VŠB Technická univerzita Ostrava Tvorba informačních systémů, 2005/2006 c 2006 Michal Krátký, Miroslav Beneš Tvorba informačních

Více

Obsah 10.2.2000. 2.1 Charakteristiky software... 2 2.2 Programování ve velkém... 3

Obsah 10.2.2000. 2.1 Charakteristiky software... 2 2.2 Programování ve velkém... 3 Softwarové inženýrství (státnicová otázka 2 8) Ladislav Dobiáš 10.2.2000 Obsah 1 Zadání 2 2 Základní pojmy 2 2.1 Charakteristiky software................................ 2 2.2 Programování ve velkém................................

Více

Globální strategie, podnikové procesy, IT strategie. Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/

Globální strategie, podnikové procesy, IT strategie. Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/ Globální strategie, podnikové procesy, IT strategie Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/ Globální podniková strategie Co budeme dělat? Jak to budeme dělat? Jak využijeme IT Co

Více

Chyby software. J. Sochor, J. Ráček 1

Chyby software. J. Sochor, J. Ráček 1 Chyby software J. Sochor, J. Ráček 1 Výsledek projektu Úspěšný: Projekt je dokončen včas, bez překročení rozpočtu, se všemi specifikovanými rysy a funkcemi. S výhradami: Projekt je dokončen a funkční,

Více

Základy programování Úvodní informace. doc. RNDr. Petr Šaloun, Ph.D. VŠB-TUO, FEI (přednáška připravena z podkladů Ing. Michala Radeckého, Ph.D.

Základy programování Úvodní informace. doc. RNDr. Petr Šaloun, Ph.D. VŠB-TUO, FEI (přednáška připravena z podkladů Ing. Michala Radeckého, Ph.D. Základy programování Úvodní informace doc. RNDr. Petr Šaloun, Ph.D. VŠB-TUO, FEI (přednáška připravena z podkladů Ing. Michala Radeckého, Ph.D.) Kontakt doc. RNDr. Petr Šaloun, Ph.D. Kancelář: A1025 Telefon:

Více

Modelování požadavků

Modelování požadavků Modelování požadavků Ing. Jiří Mlejnek Katedra softwarového inženýrství Fakulta informačních technologií České vysoké učení technické v Praze Jiří Mlejnek, 2011 jiri.mlejnek@fit.cvut.cz Softwarové inženýrství

Více

Kombinované studium aplikované informatiky na katedře informatiky

Kombinované studium aplikované informatiky na katedře informatiky 1 Kombinované studium aplikované informatiky na katedře informatiky 2016 2 Obsah Co je kombinované studium?... 3 Proč studovat na katedře informatiky PřF UJEP?... 6 Studijní plán a uplatnění absolventů...

Více

Počítačová simulace logistických procesů II 10. přednáška Simulační experimentování

Počítačová simulace logistických procesů II 10. přednáška Simulační experimentování Počítačová simulace logistických procesů II 10. přednáška Simulační experimentování Jan Fábry 28.10.2017 Počítačová simulace logistických procesů II Obsah předmětu I. Úvod, organizace, semestrální projekty,

Více

Jakub Š astný FPGA prakticky Realizace èíslicových systémù pro programovatelná hradlová pole Praha 2010 Tato publikace je vìnována praktickým aspektùm návrhu èíslicových systémù. Spíše, než popisu jazyka

Více

Agile. nejžádanější způsob vývoje software. Tomáš Tureček. Business consultant, Lean&Agile coach Tieto tomas.t.turecek@tieto.com

Agile. nejžádanější způsob vývoje software. Tomáš Tureček. Business consultant, Lean&Agile coach Tieto tomas.t.turecek@tieto.com 2010 Tieto Corporation Agile nejžádanější způsob vývoje software Tomáš Tureček Business consultant, Lean&Agile coach Tieto tomas.t.turecek@tieto.com 2012 Tieto Corporation Tieto Aktivity ve více než 20

Více

STATISTIKA LS 2013. Garant předmětu: Ing. Martina Litschmannová, Ph.D. Přednášející: Ing. Martina Litschmannová, Ph.D.

STATISTIKA LS 2013. Garant předmětu: Ing. Martina Litschmannová, Ph.D. Přednášející: Ing. Martina Litschmannová, Ph.D. STATISTIKA LS 2013 Garant předmětu: Ing. Martina Litschmannová, Ph.D. Přednášející: Ing. Martina Litschmannová, Ph.D. Cvičící: Ing. Ondřej Grunt RNDr. Pavel Jahoda, Ph.D. Ing. Kateřina Janurová Mgr. Tereza

Více

10 Metody a metodologie strukturované analýzy

10 Metody a metodologie strukturované analýzy 10 Metody a metodologie strukturované analýzy 10.1 Strukturovaná analýza DeMarco (1978) Nástroje: DFD, datový slovník, strukturovaná angličtina, rozhodovací tabulky a stromy Postup: 1. Analýza stávajícího

Více

PRAVDĚPODOBNOST A STATISTIKA 1

PRAVDĚPODOBNOST A STATISTIKA 1 Metodický list č 1. Název tématického celku: Vymezení role Pravděpodobnosti a Matematické Statistiky v širším celku čisté a aplikované matematiky. Základním cílem tohoto tématického celku je základní pojmy

Více

2. Modelovací jazyk UML 2.1 Struktura UML 2.1.1 Diagram tříd 2.1.1.1 Asociace 2.1.2 OCL. 3. Smalltalk 3.1 Jazyk 3.1.1 Pojmenování

2. Modelovací jazyk UML 2.1 Struktura UML 2.1.1 Diagram tříd 2.1.1.1 Asociace 2.1.2 OCL. 3. Smalltalk 3.1 Jazyk 3.1.1 Pojmenování 1. Teoretické základy modelování na počítačích 1.1 Lambda-kalkul 1.1.1 Formální zápis, beta-redukce, alfa-konverze 1.1.2 Lambda-výraz jako data 1.1.3 Příklad alfa-konverze 1.1.4 Eta-redukce 1.2 Základy

Více

Databázové systémy úvod

Databázové systémy úvod Databázové systémy úvod Michal Valenta Katedra softwarového inženýrství FIT České vysoké učení technické v Praze c Michal Valenta, 2012 BI-DBS, ZS 2012/13 https://edux.fit.cvut.cz/courses/bi-dbs/ Michal

Více

ZEMĚMĚŘICKÝ ÚŘAD. Výzkum a vývoj programového aparátu pro generalizaci státního mapového díla. Ing. Přemysl JINDRÁK

ZEMĚMĚŘICKÝ ÚŘAD. Výzkum a vývoj programového aparátu pro generalizaci státního mapového díla. Ing. Přemysl JINDRÁK ZEMĚMĚŘICKÝ ÚŘAD Výzkum a vývoj programového aparátu pro generalizaci státního mapového díla Představení projektu Technologická Agentura ČR Praha, 31. 7. 2018 Ing. Přemysl JINDRÁK Základní vymezení Projekt

Více

7 Jazyk UML (Unified Modeling Language)

7 Jazyk UML (Unified Modeling Language) 7 Jazyk UML (Unified Modeling Language) 7.1 Základní charakteristika jazyka Motivace - vznik řady OO metod a metodologií (konec 80. let a první polovina 90.let) podobné notace vyjadřující totéž, komplikující

Více

UML - opakování I N G. M A R T I N M O L H A N E C, C S C. Y 1 3 A N W

UML - opakování I N G. M A R T I N M O L H A N E C, C S C. Y 1 3 A N W UML - opakování I N G. M A R T I N M O L H A N E C, C S C. Y 1 3 A N W Co je to UML Evoluce UML Diagram komponent Diagram odbavení Diagram tříd Aktivity diagram Stavový diagram Sekvenční diagram Diagram

Více

Verifikace Modelů a UPPAAL

Verifikace Modelů a UPPAAL Verifikace Modelů a UPPAAL Radek Mařík ČVUT FEL, K13132 October 2, 2014 Radek Mařík (marikr@fel.cvut.cz) Verifikace Modelů a UPPAAL October 2, 2014 1 / 51 Obsah 1 Úvod Motivace Úvod do verifikace modelů

Více

Automatizace testování

Automatizace testování Automatizace testování Radek Mařík CA CZ, s.r.o. September 14, 2007 Radek Mařík (Radek.Marik@ca.com) Automatizace testování September 14, 2007 1 / 34 Obsah 1 Motivace Stav a cíle 2 Pojem automatizace Obecná

Více

Projekt Velryba Ozdravné pobyty pro děti. Semestrální projekt

Projekt Velryba Ozdravné pobyty pro děti. Semestrální projekt Předmět AD7B36SI2 Informační systém ozdravných pobytů ČVUT FEL, obor STM Softwarové inženýrství 5. semestr, zima 2011/2012 Zpracovala: Radoslava Jandová Username: jandora1 e-mail: jandora1@fel.cvut.cz

Více

Obsah. October 2, Polymorfizmus. Typologie testování. Problém polymorfizmu. Vady/Anomálie. Vazební sekvence ČVUT FEL, K13132

Obsah. October 2, Polymorfizmus. Typologie testování. Problém polymorfizmu. Vady/Anomálie. Vazební sekvence ČVUT FEL, K13132 OO testování Radek Mařík ČVUT FEL, K13132 October 2, 2014 Radek Mařík (marikr@fel.cvut.cz) OO testování October 2, 2014 1 / 55 Obsah 1 Kĺıčové OO vlastnosti Dědičnost Řízení přístupu Polymorfizmus 2 Testování

Více

Kvalita SW produktů. Jiří Sochor, Jaroslav Ráček 1

Kvalita SW produktů. Jiří Sochor, Jaroslav Ráček 1 Kvalita SW produktů Jiří Sochor, Jaroslav Ráček 1 Klasický pohled na kvalitu SW Každý program dělá něco správně; nemusí však dělat to, co chceme, aby dělal. Kvalita: Dodržení explicitně stanovených funkčních

Více

CASE nástroje. Jaroslav Žáček

CASE nástroje. Jaroslav Žáček CASE nástroje Jaroslav Žáček jaroslav.zacek@osu.cz http://www1.osu.cz/~zacek/ Co znamená CASE? A CASE tool is a computer-based product aimed at supporting one or more software engineering activities within

Více

D - Přehled předmětů studijního plánu

D - Přehled předmětů studijního plánu D - Přehled předmětů studijního plánu Vysoká škola: Součást vysoké školy: Název studijního programu: Název studijního oboru: Slezská univerzita v Opavě Matematický ústav v Opavě Matematika Obecná matematika

Více

Seznam úloh v rámci Interního grantového systému EPI

Seznam úloh v rámci Interního grantového systému EPI Evropský polytechnický institut, s.r.o. Kunovice Seznam úloh v rámci Interního grantového systému I rok/p ořadí Číslo úlohy Název Obor 2008 B1/2008 Vývojové tendence globalizujícího se podnikatelského

Více

PowerOPTI Řízení účinnosti tepelného cyklu

PowerOPTI Řízení účinnosti tepelného cyklu PowerOPTI Řízení účinnosti tepelného cyklu VIZE Zvýšit konkurenceschopnost provozovatelů elektráren a tepláren. Základní funkce: Spolehlivé hodnocení a řízení účinnosti tepelného cyklu, včasná diagnostika

Více

FAKULTA STAVEBNÍ NELINEÁRNÍ MECHANIKA. Telefon: WWW:

FAKULTA STAVEBNÍ NELINEÁRNÍ MECHANIKA. Telefon: WWW: VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA STAVEBNÍ NELINEÁRNÍ MECHANIKA Bakalářské studium, 4. ročník Jiří Brožovský Kancelář: LP H 406/3 Telefon: 597 321 321 E-mail: jiri.brozovsky@vsb.cz

Více

Antonín Přibyl Odborná praxe oborů PS a AI

Antonín Přibyl Odborná praxe oborů PS a AI Výchozí stav Vysoká škola polytechnická Jihlava je veřejná vysoká škola zaměřená na aplikovanou vzdělanost, jejímž posláním je poskytovat studijní programy zaměřené zejména na potřeby regionálního trhu

Více

Matematika B101MA1, B101MA2

Matematika B101MA1, B101MA2 Matematika B101MA1, B101MA2 Zařazení předmětu: povinný předmět 1.ročníku bc studia 2 semestry Rozsah předmětu: prezenční studium 2 + 2 kombinované studium 16 + 0 / semestr Zakončení předmětu: ZS zápočet

Více

TES cv 7. Příklad rozsáhlého procesního systému ITS ZS 2011/2012

TES cv 7. Příklad rozsáhlého procesního systému ITS ZS 2011/2012 Evropský sociální fond. Praha & EU: Investujeme do vaší budoucnosti. TES cv 7 Příklad rozsáhlého procesního systému ITS ZS 2011/2012 prof. Ing. Petr Moos, CSc. Ústav informatiky a telekomunikací Fakulta

Více

Ročníkový projekt. Jaroslav Žáček jaroslav.zacek@osu.cz

Ročníkový projekt. Jaroslav Žáček jaroslav.zacek@osu.cz Ročníkový projekt Jaroslav Žáček jaroslav.zacek@osu.cz Cíle předmětů Vytvoření fungující aplikace, která splňuje definované požadavky Vyzkoušet si celý životní cyklus projektu - specifikace zadání, formování

Více

Informační systémy 2008/2009. Radim Farana. Obsah. Nástroje business modelování. Business modelling, základní nástroje a metody business modelování.

Informační systémy 2008/2009. Radim Farana. Obsah. Nástroje business modelování. Business modelling, základní nástroje a metody business modelování. 3 Vysoká škola báňská Technická univerzita Ostrava Fakulta strojní, Katedra automatizační techniky a řízení 2008/2009 Radim Farana 1 Obsah Business modelling, základní nástroje a metody business modelování.

Více

dagmar.weginger@tul.cz Podmínky získání zápočtu

dagmar.weginger@tul.cz Podmínky získání zápočtu + zkoušky LS 2012 N1D*H Semestr: 4 + zkoušky LS 2012 N1D-H Semestr: 4 + zkoušky LS 2012 N1B*H Semestr: 2 + zkoušky LS 2012 N1B-H Semestr: 2 + zkoušky LS 2012 Předmět: Jazyk II Němčina N2B-H Semestr: 2

Více

AKCE JARO-LÉTO Měřicí a testovací přístroje REVIZNÍ PŘÍSTROJE ANALYZÁTORY SÍTĚ TERMOKAMERY PROVOZNÍ PŘÍSTROJE. Platnost do 28.6.

AKCE JARO-LÉTO Měřicí a testovací přístroje REVIZNÍ PŘÍSTROJE ANALYZÁTORY SÍTĚ TERMOKAMERY PROVOZNÍ PŘÍSTROJE. Platnost do 28.6. AKCE JARO-LÉTO 2019 Měřicí a testovací přístroje ANALYZÁTORY SÍTĚ REVIZNÍ PŘÍSTROJE PROVOZNÍ PŘÍSTROJE TERMOKAMERY Platnost do 28.6.2019** REVIZNÍ PŘÍSTROJE 35 490 62 690 1 339 2 366 C.A 6116N C177A DataView

Více

Didaktické testy pro výuku konstrukčních předmětů

Didaktické testy pro výuku konstrukčních předmětů Didaktické testy pro výuku konstrukčních předmětů Student nepotřebuje olověného mozku, ale olověného zadku.. J.A.KOMENSKÝ 2 /15 OBSAH Úvod Důvody vedoucí k tvorbě didaktických testů Etapy konstrukce kvalitního

Více

7 Jazyk UML (Unified Modeling Language)

7 Jazyk UML (Unified Modeling Language) 7 Jazyk UML (Unified Modeling Language) 7.1 Základní charakteristika jazyka Motivace - vznik řady OO metod a metodologií (konec 80. let a první polovina 90.let) podobné notace vyjadřující totéž, komplikující

Více

Počítačová grafika 1 (POGR 1)

Počítačová grafika 1 (POGR 1) Počítačová grafika 1 (POGR 1) Pavel Strachota FJFI ČVUT v Praze 8. října 2015 Kontakt Ing. Pavel Strachota, Ph.D. Katedra matematiky Trojanova 13, místnost 033a E-mail: WWW: pavel.strachota@fjfi.cvut.cz

Více

Databázové systémy úvod

Databázové systémy úvod Databázové systémy úvod Michal Valenta Katedra softwarového inženýrství FIT České vysoké učení technické v Praze c Michal Valenta, 2011 BI-DBS, ZS 2011/12 https://edux.fit.cvut.cz/courses/bi-dbs/ Michal

Více

PV207. Business Process Management

PV207. Business Process Management PV207 Business Process Management Úvod do BPMN 12. 3. 2009 Petr Vašíček 2007 2009 IBA Group FI MU Obsah přednášky Opakování BPMS Úvod do BPMN Přehled grafických elementů Flow objects Connecting objects

Více

Hospodářská informatika

Hospodářská informatika Hospodářská informatika HINFL, HINFK Vytvořeno s podporou projektu Průřezová inovace studijních programů Lesnické a dřevařské fakulty MENDELU v Brně (LDF) s ohledem na disciplíny společného základu reg.

Více

Předměty. Algoritmizace a programování Seminář z programování. Verze pro akademický rok 2012/2013. Verze pro akademický rok 2012/2013

Předměty. Algoritmizace a programování Seminář z programování. Verze pro akademický rok 2012/2013. Verze pro akademický rok 2012/2013 Předměty Algoritmizace a programování Seminář z programování Verze pro akademický rok 2012/2013 Verze pro akademický rok 2012/2013 1 Přednášky Jiřina Královcová MTI, přízemí budovy A Tel: 48 53 53 521

Více

Elektronické obvody analýza a simulace

Elektronické obvody analýza a simulace Elektronické obvody analýza a simulace Jiří Hospodka katedra Teorie obvodů, 804/B3 ČVUT FEL 4. října 2006 Jiří Hospodka (ČVUT FEL) Elektronické obvody analýza a simulace 4. října 2006 1 / 7 Charakteristika

Více

EKONOMETRIE 7. přednáška Fáze ekonometrické analýzy

EKONOMETRIE 7. přednáška Fáze ekonometrické analýzy EKONOMETRIE 7. přednáška Fáze ekonometrické analýzy Ekonometrická analýza proces, skládající se z následujících fází: a) specifikace b) kvantifikace c) verifikace d) aplikace Postupné zpřesňování jednotlivých

Více

Verifikace Modelů a UPPAAL

Verifikace Modelů a UPPAAL Verifikace Modelů a UPPAAL Radek Mařík Czech Technical University Faculty of Electrical Engineering Department of Telecommunication Engineering Prague CZ November 7, 2017 Radek Mařík (radek.marik@fel.cvut.cz)

Více