Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 10 (11)

Rozměr: px
Začít zobrazení ze stránky:

Download "Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 10 (11)"

Transkript

1 Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 10 (11) A4B38NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1

2 Náplň přednášky Sériová rozhraní rozhraní SPI funkce, vlastnosti Použití SPI, pro ISP, pojem Boot loader- paměti Flash s SPI rozhraním I2S pro připojení audiokodeku I2C (IIC Bus) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 2

3 STM32F100 sériová rozhraní SPI, I2C SPI USART (LIN) I2C Cortex-M3 CPU MHz JTAG/SW Debug Nested vect vectit IT Ctrl Ctrl 1 x Systick Timer 1 x DMA 7 Channels CRC 1x6x 16-bit PWM Synchronized AC AC Timer Timer Up Up to to Ext. Ext. ITs ITs Up Up to to I/Os I/Os 1x 1x SPI SPI 1x 1x USART/LIN Smartcard/IrDa Modem-Ctrl ARM Lite Hi-Speed Bus Matrix / / Arbiter (max (max 24MHz) 24MHz) Bridge ARM Peripheral Bus (max 24MHz) 4kB-8kB SRAM 1x 1x 12-bit ADC channels // 850ksps 2x 2x USART/LIN Smartcard // IrDa IrDa Modem Control A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 3 Flash Flash I/F I/F Bridge kB Flash Memory 20B 20B Backup Regs Clock Control ARM Peripheral Bus (max 24MHz) 1x16-bit timer with 2 IC/OC/PWM 2x16-bit timer each with 1 IC/OC/PWM 2 x 12bit DAC Temp Sensor Power Supply Reg Reg1.8V POR/PDR/PVD XTAL oscillators 32KHz + 3~25MHz Int. Int. RC RC oscillators 40KHz + 8MHz PLL PLL RTC // AWU 2x 2x Watchdog (independent & window) 3 x 16-bit Timer HDMI CEC CEC 2x 2x SPI SPI 2x 2x I2C I2C

4 Rozhraní SPI Rozhraní SPI ( Serial Peripheral Interface) - původ firma Motorola SPI není typ bus - sběrnice, ale pouze rozhraní (interface) typu bod - bod master - procesor, generace hod. sig. SCK, slave - podřízená jednotka, výstup ze SLAVE M ISO - třístavový pokud je u slave /ss = H, není aktivní výstup MISO SPI hod. generátor MASTER MISO 8 bit pos. registr 8 bit pos. registr MISO SCK MOSI - Master Output Slave Input MISO - Master Input Slave Output SCK - serial clock SS - slave select MOSI MOSI A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 4 SS +5V SS SCK SLAVE

5 SPI, komunikace s nastavením fáze CPHA = 0 nastavení polarita a fáze hodin (4 kombinace) MOSI výstup MISO - vstup CPHA = 0 při čtení nevýhoda - slave musí poskytnout data (MSB) na vodiči MISO ihned po /ss (slave select), s první hranou SCK se data vzorkují, s další hranou SCK se vysouvá další bit dat nastavení - clock phase- CPHA = 0 SCK cyklus SCK (CPOL=0) SCK (CPOL=1) MOSI (z master) MISO (ze slave) MSB MSB LSB LSB SS (do slave) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 5

6 SPI, komunikace s nastavením fáze CPHA =1 Slave i master poskytnou první data (MSB) až po první hraně hodin SCK, master i slavečtou MSB s druhou hranou hodin SCK CYKLUS SCK (CPOL=0) nastavení - clock phase- CPHA = SCK (CPOL=1) MOSI (z master) MSB LSB MISO (ze slave) MSB LSB SS (DO SLAVE) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 6

7 SPI rozhraní, připojení jednotek slave Připojení více jednotek slave na jeden master, výběr pomocí vstupu /ss, aktivace jednotlivých /ss - programově řízenými výstupy (není součástí rozhraní SPI). Např. u AT89S8252 ovládání pomocí pinů brány P1, P3,.. Vstup /ss - u slave, obdoba funkce /CS - chip select jako pamětí. Určení, s kterou jednotkou slave se komunikuje MOSI MISO SCK SS Udd MOSI MISO SCK SS slave 1 master port MOSI MISO SCK SS MOSI MISO SCK SS slave 2 slave 3 A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 7

8 SPI STM32 STM32 SPI 8 nebo 16 bit data možné navázat SPI na DMA ( Direct Memory Access) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 8

9 SPI rozhraní implementace v STM32F100 SPI rozhraní NSS slave select slave mod - vstup A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 9

10 SPI rozhraní - implementace Vysílání MSB typicky první, případně možnost volby LSB první 8 bitů dat (typicky), v často podpora i 16 bitů dat) rychlosti komunikace, frekvence SCK - programovatelná, SCK 1 až 10-ky MHz Možnost programové implementace rozhraní SPI, které nemají rozhraní SPI - emulace funkce SPI master - programově ovládanými piny brány, při programové implementaci signál SCK nemusí být synchronní ( nemusí mít konstantní periodou). Použití SPI - připojení vstupů. výstupů s posuvnými registry ( 595), specializovaných obvodů, pamětí, připojení AD, DA převodníků s SPI, budiče LED, budiče relé, řadiče LCD,. Paměti FLASH s rozhraním SPI např. M25P32-4 MByte, jako paměť dat, záznam, černá skříňka, Karty MMC, SD - možnost komnikace s rozhraním SPI, použití karet jako vnější paměti dat, adresace po sektorech 512 byte, A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 10

11 Využití SPI a sériových rozhraní pro programování up ISP in system programming uložení programu a dat do paměti v aplikaci. Často využito rozhraní SPI (up ATMEL, AVR, Microchip, ) Další způsoby programování paměti FLASH- sériové rozhraní JTAG rozhraní Signály TDI, TDO, TCK, TMS, funkce ladění - On-chip Debug system JTAG obsahují ATmega 16, 32,..), C8051F020 (Silabs),všechny procesory s jádrem ARM, STM32,. a většina nových up, ( JTAG Emulator ) Dvoudičové ladicí rozhraní SWD u ARM Cortec- M3, viz STM32VL Discovery U některých up možnost programování ISP programu BOOT loader - viz dřívější výklad na před. Aktivace vnitřního programu Boot loader na čipu po resetu při. spec. nastavení úrovní na pinech Boot loader aktivně komunikuje s rozhraním ( UART, SPI, CAN, USB,...) a programuje vnitřní paměť Funkce boot - možnost začít práci s up bez specializovaného vybavení (emulátor, vývojový modul,..) pouze s vlastním procesorem STM32F100 Booat loader na UART, STM32F105 Boot UART, USB, CAN A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 11

12 Programování paměti FLASH mikroprocesoru pomocí SPI Řada up, možnost naprogramování interní FLASH prostřednictvím SPI ISP - In - System Programming - programování paměti FLASH mikropočítače v obvodu Naprogramování mikropočítače v obvodu: aktuálním kódem - program ve FLASH, kalibrační data, specifická data - jazyková lokalizace přístroje (varianty hlášení a textů), sériové číslo, Data v EEPROM - kalibrační data,... Možnost výrobní diagnostiky - naprogramování diagnostických programů, s ISP uvažovat při návrhu obvodu a desek plošných spojů a konektorů Varianty EEPROM připojeny na up, ale možnost programování i bezdrátově- RFID technika (výroba STM), není nutnost elektricky připojovat A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 12

13 Rozhraní I2S Rozhraní I2S pro připojení obvodu audio codec (kodér- dekodér) převodník analogo- číslicový a číslicovo- analogový, stereo pro generování zvuku, typické vzorkovací frekvence do 48 khz ( zvukové CD 44,100 khz) Sériový přenos dat signál SD 16, 24 nebo 32 bitů, Hodinový signál CK pro řízení přenosu sériových dat Signál WS- výběr kanálu- pravý. levý kanál MCK - Master clock output navíc- hodinový signál pro audiokodek, (pokud např. audikodek nemá vlastní krystalový oscilátor). u STM32 f MCK = 256 x f S kde f S je vzorkovací frekvence audiosignálu Rozhraní I2S často implementováno v mikrořadičích s jádrem ARM Cortex M3 U některých vyšších variant STM32Fxxx např. ST32F103xCx, nebo STM32F407), funkce rozhraní I2S- jako rozšíření SPI A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 13

14 Rozhraní I2S průběhy signálu I2S v STM32F103 xc možno volit pořadí, njdříbe LSB nebo MSB, délka přenosu 16,24, 32 bitů,.. polarita hod.signálu CK I2S viz též A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 14

15 Rozhraní IIC bus Rozhraní - IIC bus, Inter Integrated Circuit Bus, původce, patent, firma Philips (nyní NXP), označení také I2CBus, původní určení - spotřební elektronika (radio, TV, video, ) Typ sběrnice- otevřený kolektor (volba velikosti R p - výchozí hodnota R P = 2k7 ), připojení více obvodů, master - slave, možnost - multimaster. V DD možné též 3,3 V zavisí na typech obvodu (senzory CMOS napájení zásadně 3,3 V, tedy i na IIC bus sloužící pro konfiguraci senzoru) R P R P VDD +5V SDA SCL SCL 1 výst. DATA 1 výst. SCL 2 výst. DATA 2 výst. SDA sériová data SCL vstup DATA vstup SCLK VST. DATA VST. SCL sériové hodiny A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 15

16 Rozhraní IIC bus - signály SCL - hodinový signál, generuje master SDA - data, generuje master nebo slave Změna stavu SDA při přenosu dat možná pouze při SCL = L Frekvence SCL - max. 100 khz Standard speed označení také SM, 400 khz Fast speed, označení někdy také FM (fast mode), 1 MHz Fast mode plus (označení FM+ ) signál SCL nemusí být synchronní, není určen minimální frekvence (možnost asynchronní signál), STM32F100 SM a FM, STM32F051 podporuje SM, FM, FM+ SDA data platná při SCL = 1 SCL změna dat při SCL = 0 data stabilní změna dat data stabilní SDA 0 1 přenos bitů 0 a 1 SCL A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 16

17 Rozhraní IIC Ultra Fast mode UFm Princip přenosu pro SM, FM, FM+ je shodný, odlišnost v rychlosti a velikosti, budič otevřený kolektor, proud budiče (SM, FM do 3 ma, FM+ 20 až 30 ma) Ultra Fast mode UFm až 3,4 MHz, modifikovaný princip, Pro režim UFm, signály USCL, USDA U značí ultrafast UFm pro rychlý přenos dat z procesoru do periferie, (ne opačně) není možnost potvrzení ( acknowledge ) od periferie budič typu Push Pull obdoba elementárního invertoru CMOS (viz. před. dříve) Možnost prodloužení sběrnice UFm pomocí standardních budičů CMOS logiky (push pull) LED driver ( PCU9955 firma NXP) Otázka velikosti parazitních kapacit, pro vyšší rychlost potřeba pro jejich nabití a vybití větších proudů pro FM+ nižší hodnota odporu pull - up rezistorů Řadič I2C bus pro UFm umožňuje kombinaci módů FM+ a UFm ( v FM_ konfigurace, zjišťování stavu periferie, přechod na UFm- pouze jednosměrný přenos dat (analogie rychlý diktát, nejdříve příprava, informace,.., pak rychlý přenos dat, pak zpomalení kontrola, je vše v pořádku?) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 17

18 Rozhraní IIC bus- start, stop Začátek a konec přenosu zprávy určuje master začátek přenosu - start, SDA spádová hrana při SCL = H konec přenosu - stop, SDA náběžná hrana při SCL = H SDA start stop SCL S P A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 18

19 Rozhraní IIC bus - potvrzení Příklad - přenos dat ACh z master do slave s adresou 50h adresace slave (7 bitů + příznak čtení/ zápis, ACK - potvrzení od slave, přenos dat 8 bitů, ACK - slave, stop - master obvykle - přenosy více Byte potvrzení - ACK přijímajícím ( master, nebo slave) start S SDA zápis R A 6 A 5 A 4 A 3 A 2 A 1 A 0 W A C K MSB D 7 D 6 D 5 D 4 D 3 D 2 D 1 LSB D A C K stop P SCL ACK ze slave adresace data A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 19

20 Přenos vícebajtové zprávy po rozhraní IIC Po start S a vyslání adresy obvodu - možný přenos dat pouze jedním směrem, čtení nebo zápis, konec přenosu stop P Kombinovaný přenos - start - zápis, nový start Sr (bez stop) čtení, konecstop. master zapisuje do slave master S adr. W data data data P slave ACK ACK ACK ACK master čte ze slave NOT master S adr. R ACK ACK ACK P slave ACK data data data A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 20

21 Obousměrná komunikace po rozhraní IIC Za start může následovat komunikace pouze v jednom směru, buď Master - zapisuje do Slave nebo Masterčte ze Slave Jakřešit, pokud se musí nejdříve do slave zapsat ( např. informace, odkud se má číst) a pak číst? Příklad - 24C02 (paměť EEPROM256 Byte) nejdříve adresa obvodu (dev select) + zápis a předat - adresu byte čteného z paměti (byte address) nový start, znovu adresace obvodu (dev select) a čtení dat u obvodu Čtení jednoho bajtu dat z paměti z vybrané bajtové adresy R/W = 0 R/W = 1 pozor je třeba rozlišit adresu součástky a adresu v součástce analogie sdělím -číslo (název) knihy, kterou chci ( adresa obvodu) a pak číslo stránky (bajtová adresa) adresy bajtu, který chci přečíst) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 21

22 Paměť EEPROM s rozhraním IIC bus Paměť EEPROM 24C02 jako příklad obvodu s rozhraním IIC bus Adresa obvodu 1010 XXX, kde XXX jsou tři bity adresy ( A 2, A 1, A 0 ) volené nastavením tří pinů (A 2, A 1, A 0 ) select Důsledek- na IIC bus lze připojit až 8 různých obvodů (kusů) pamětí 24C02 lišících se nastavenou adresou WP write protect WP= H (log. 1) zápis zakázán, WP= L zápis povolen (viz dále obdobná funkce write protect u PMBus) EEPROM 24C02 Specifikace adres (IIC bus) různých obvodů firma Philips (nyní NXP), pro daný typ obvodu určena daná adresa.? Jak volba adres IIC Bus u ostatních firem, když tuto volbu nemohly ovlivnit? Různě, často využití dalších adresovacích vstupů (podobně jako A2, A1, A0 u EEPROM 24C02) obvodu pro uživatelskou volbu- nastavení bezkolizní adresy. A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 22

23 Rozhraní IIC Bus, 7- a 10- bitové adresování IIC Bus, typicky se využívá 7- bitová adresa Je možná i 10 bitová adresa (prefix horní bity adresy, je adresováno i více slave, které mohou potvrdit pak zbývajících 8 bitů adresy, potvrdí jen jeden slave. A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 23

24 Rozhraní IIC Bus, implementace Rozhraní IIC bus implementováno v řadě up, i pod jinými názvy, např. TWI (Two Wire Interface) a další- z důvodu patentové ochrany. Možnost programové emulace rozhraní IIC Bus v up, které nemají IIC Bus, (příklad ADSP BF 533 pro nastavení obrazového senzoru CMOS) Využití vstupně výstupních bran - úprava na režim emulace funkce otevřený kolektor - přepínání výstup - stav L, nebo vstup (viz přednášky dříve- využití obousměrného výstupu nebo třístavového výstupu jako výstupu s otevřeným kolektorem). Otázka: Popište způsob využití pinu vstupně -výstupní brány (která má ve výstupním režimu pouze PUSH PULL mód) pro komunikaci s rozhraním IIC bus. A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 24

25 IIC Bus a přerušení Omezení IIC podřízený obvod SLAVE nemůže sám, (pokud není dotázán), vyvolat činnost a požádat o obsluhu. To řešeno dodatečně a nezávisle pomocí přerušením nezávisle na standardu IIC Bus. Obvod s IIC Bus, výstup přerušení typu Open drain, požadavek přerušení aktivní ve stavu L (log.0). Možná funkce wired AND. jediný výstup v L (kdy ostatní připojené výstupy jsou ve stavu H- nesepnutý výstup open drain) připojený na společný vodič způsobí stav L (log 0) na vodiči. Paralelní spojení výstupů / INT jednotlivých obvodů. Master musí sám dotazováním zjistit původce požadavku přerušení. A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 25

26 IIC Bus a přerušení Obvod PCF8574 (Remote 8-BIT I/O Expander for I2C BUS) P7 až P0 vstupy- výstupy-, kvazi - obousměrný port Volba adresy obvodu -nastavením vstupů A2, A1, A0 (připoj. na GND nebo Ucc) / INT výstup přerušení open drain (aktivní v L) Změna stavu na kterémkoliv vstupním pinu generuje přerušení A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 26

27 Kvazi - obousměrná brána PCF8574, P7 až P0 kvazi - obousměrný port Výstup jako open drain, připojen pull op (nárada pull up rezistoru aktivním obvodem. zdroj proudu 0,1 ma). Výst. ve stavu L nízká impedance, Výst. ve stavu H pouze jako měkký zdroj, max proud 0,1 ma) Použití jako vstup na výstup zapsat úroveň H (log. 1), možno číst stav ( H nebo L podle vnějšího signálu) Kvazi obousměr. brány analogicky i u mikrořadičů A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 27

28 Rozhraní IIC v STM32 Blok komunikace s IIC bus Master i Slave mode komparátor adresypři příjmu možnost využití obvodově řešeného rozpoznání vlastní adresy na sběrnici bez potřeby programové obsluhy (až 2 adresy) Možnost přenosu dat s využitím DMA PEC register (Packet Error Checking) není pro IIC bus, ale využito pro SMBus (viz. dále) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 28

29 IIC STM32 STM32 možnost detekce vlastní adresy (7 nebo 10 bitové adresy), až 2 slave adresy podpora rychlosti přenosu s frekvencí SCL 100 khz a 400 khz A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 29

30 Rozhraní IIC Bus, použití Použití IIC Bus, mnoho integrovaných obvodů, obvodově nenáročné, pouze dva vodiče SCL, SDA, Převodníky ADC, DAC, snímače teploty, obvody pro dohled v PC, obvody spotřební elektroniky, IO expandery, obvody RTC ( Real Time clock), řadiče LCD, budiče LED, IIC Bus- nastavení CMOS obrazových senzorů, viz senzory firem Kodak, Micron - Aptina,.) Blok pro komunikaci s IIC Bus obsažen v řadě mikrořadičů. Mikrořadiče s jádrem ARM Cortex M3 obvykle obsahují blok pro IIC bus Mikrořadiče s jádrem ARM Cortex AX (pro mobilní telefony,..) obvykle také podporují IIC bus. Raspberry PI, Beagle Bone (moduly s Linux) rozhraní IIC Bus, moduly Arduino též IIC Bus Další informace - IIC bus, princip funkce, použití A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 30

31 Rozhraní SMBus - System Management Bus Z IIC Bus vychází (úpravy a rozšíření specifikace komunikace) SMBus Standard SMBus specifikovaly firmy: Duracell, Energizer Power Systems, Fujitsu, Intel, Linear Technology, Maxim Integrated Products, Mitsubishi Electric Semiconductor Company, PowerSmart, Toshiba Battery Co., Unitrode Corporation, USAR Systems, Již podle firem je vidět, že určení SMBus bude v souvislosti s dohledem na napájení a dalšími funkcemi notebooků (baterie- akumulátory) a osobních počítačů. SMBus je nyní v každém PC. Monitorování stavu napájecí soustavy, měření teploty čipu procesoru, funkce ventilátoru, SMBus specifikace A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 31

32 Rozhraní SMBus SMBus některé odlišnosti oproti IIC Bus SMBus rychlost f sclk = 10 až 100 khz (není fast mod,..) I PULLUP U IHmin = 2,1 V U ILmax = 0,8 V 100 až 350 ua - proud pull up obvodem z U CC k zemi při sepnutí Send Byte a Receive Byte vyslání a čtení jednoho bajtu kompatibilita IIC a SMBUs Vícebajtový přenos na SMBus, přidán kód příkazu Command (1 bajt) a počet bajtů dat přenášených ve zprávě (1 bajt) Ve zprávě SMBus může být přidán bajt PEC (poslední bajt zprávy po datech pro Packet Error Checking) při přenosu z master do slave i při přenosu ze slave do master. Přidána možnost signalizace požadavku (od) slave o obsluhu SMBus alert - (hardware alerting) - slave může po samostatném vodiči signalizovat požadavek o obsluhu (princip. obdoba - viz přerušení u IIC Buc) A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 32

33 Rozhraní PMBus PMBus vychází z SMBus určení pro: Power - supply Management. Řízení napájecích zdrojů, hot-swap kontrolérů (podpora výměna bloků systémů při napájení), bloků sekvenčního zapínání a vypínání napájení procesorových systémů, konvertorů sběrnic, regulace zátěží srovnání bloků IIC Bus a PMBus IIC bus master PMBus System manager, IIC bus slave Signály SCL, SDA PMBus device dle materiálů Texas Instruments navíc SMBALLERTn (aktivní v L),signalizace z PMBus device do syst. manager potřeba obsluhy A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 33

34 Rozhraní PMBus WP (write protect) optional, doplňková funkce. Pokud je v obvodu implemetována funkce WP - ochrana proti zápisu, zápis do vnitřních pamětí obvodu (změna nastavení) povolen pouze, pokud WP=0, pokud WP = 1 nebo rozepnut, je WP aktivní a zápis je zakázán viz analogicky, jako u IICBus paměti EEPROM 24C02 Použití PMBus, obvody napájení, řidicí obvody impulsích napájecích zdrojů, možnost nastavení parametrů ( napětí, frekvence spínání pulsního zdroje), telemetrie ( vzdálené monitorování) vnitřních parametrů, měření napětí, proudů, teplot! na čipu řídicích obvodů napájecích zdrojů počítačových systémů, základnových stanic (base station) GSM (napájecí bloky poč. systémů, disková pole, switche, routery, UPS,.. možnost vzdáleného monitoringu) více o problematice viz A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 34

35 Příklady obvodů rozhraním PMBus LM System Power Management and Protection IC With PMBus TPS V to 20V synchronous buck controller pozn. buck controller - viz další přednášky o napájecích zdrojích. vest. systémů A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 35

36 Programová emulace paralelních a sériových rozhraní Pokud mikrořadič neobsahuje dané rozhraní (SPI, IIC Bus, paralelní rozraní pro LCD,,.) je možno jej programově emulovat. V úloze A4B38NVS připojení 7 seg. zobrazovače s pos. registrem optimální využít rozhraní SPI. Ve cvičeních progr. emulace sériového rozhraní SPI. Řadič LCD HD44780 má paralelní komunikační rozhraní ( Data, R/W, E), které bylo u mikrořadičů firmy Motorola. Celý proces zápisu (8- bitové) nebo představoval jednu instrukci procesoru pro přenos dat po externí sběrnici. Obsluha LCD na cvičení - typický příklad programové emulace konkrétního paralelního rozhraní. Mikrořadiče s vyvedenou paralelní sběrnicí možnost přímého připojení externích programovatelných obvodů obvodu (komunikačních řadičů Ethernet, CAN, řadičů High speed USB,, časovačů, ) Mikrořadiče bez vyvedené sběrnice, pouze se vstupně- výstupními branami programová emulace paralelního rozhraní pro komunikacy s takovými obvody. Příklad - připojení ext. řadiče Ethernet k ARM7 typu LPC2114, viz, aplik. nota firmy NXP A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 36

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J.

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň přednášky Druhá část. přednášky 12 Sériové rozhraní SPI, Sériové rozhraní IIC A4B38NVS, 2011, kat. měření,

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12)

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky Sériová rozhraní rozhraní

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha. J. Fischer

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha. J. Fischer Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Náplň přednášky Sériová rozhraní

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 14 - X38MIP -2009, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral Interface) - původ firma Motorola SPI není typ

Více

Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer. A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1

Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer. A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1 Přednáška 10 2012, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1 Náplň přednášky Čítače v MCU forma, principy činnosti A4B38NVS, 2012, J.Fischer, kat.

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Přednáška 1. 2011, kat. měření, ČVUT - FEL, Praha J. Fischer

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Přednáška 1. 2011, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška 1 2011, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň HW návrh vestavěných systémů, komponenty a jejich využití, procesor jako součástka Logické obvody a jejich vlastnosti z hlediska spolupráce

Více

Čítače Přednáška 10 (11)

Čítače Přednáška 10 (11) Čítače Přednáška 10 (11) 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Náplň přednášky Čítače v MCU forma, principy činnosti použití čítačů

Více

Přednáška UART, RS232, 422, 485

Přednáška UART, RS232, 422, 485 Přednáška UART, RS232, 422, 485 A4M38AVS Aplikace vestavěných systémů,2013, J. Fischer, katedra měření, ČVUT - FEL, Praha A4M38AVS, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 STM32F100 - UART Sériová komunikace:

Více

Procesory pro vestavné aplikace přehled

Procesory pro vestavné aplikace přehled Procesory pro vestavné aplikace přehled v. 2013 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Mikroprocesory pro vestavné aplikace rysy Široké spektrum

Více

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,

Více

Vstupy, výstupy vestavných systémů

Vstupy, výstupy vestavných systémů Vstupy, výstupy vestavných systémů v. 2015 Materiál je určen jako pomocný materiál pouze pro studenty zapsané v předmětu: A4M38AVS A4M38AVS, 2015, J. Fischer, kat. měření, ČVUT - FEL, Praha 1 Připojení

Více

Úvod do mobilní robotiky NAIL028

Úvod do mobilní robotiky NAIL028 md at robotika.cz http://robotika.cz/guide/umor08/cs 6. října 2008 1 2 Kdo s kým Seriový port (UART) I2C CAN BUS Podpora jednočipu Jednočip... prostě jenom dráty, čti byte/bit, piš byte/bit moduly : podpora

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

ETC Embedded Technology Club setkání

ETC Embedded Technology Club setkání ETC Embedded Technology Club setkání 13.12. 2016 Katedra telekomunikací, Katedra měření, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 13.12.2016, ČVUT- FEL, Praha 1 Náplň Plán činnosti Výklad

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

Úvod do mobilní robotiky AIL028

Úvod do mobilní robotiky AIL028 md at robotika.cz http://robotika.cz/guide/umor07/cs 11. října 2007 1 Definice Historie Charakteristiky 2 MCU (microcontroller unit) ATmega8 Programování Blikání LEDkou 3 Kdo s kým Seriový port (UART)

Více

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů )

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Führer Ondřej, FUH002 1. AVR procesory obecně

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

MSP 430F1611. Jiří Kašpar. Charakteristika

MSP 430F1611. Jiří Kašpar. Charakteristika MSP 430F1611 Charakteristika Mikroprocesor MSP430F1611 je 16 bitový, RISC struktura s von-neumannovou architekturou. Na mikroprocesor má neuvěřitelně velkou RAM paměť 10KB, 48KB + 256B FLASH paměť. Takže

Více

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií Autor: Tomáš Válek, xvalek02@stud.fit.vutbr.cz Login: xvalek02 Datum: 21.listopadu 2012 Obsah 1 Úvod do rozhraní I 2 C (IIC) 1 2 Popis funkčnosti

Více

Přednáška vložená 5. týden, výklad k úloze LCD, UART A4B38NVS - Návrh vestavěných systémů 2014, katedra měření, ČVUT - FEL, Praha. J.

Přednáška vložená 5. týden, výklad k úloze LCD, UART A4B38NVS - Návrh vestavěných systémů 2014, katedra měření, ČVUT - FEL, Praha. J. Přednáška vložená 5. týden, výklad k úloze LCD, UART A4B38NVS - Návrh vestavěných systémů 2014, katedra měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky

Více

Microchip. PICmicro Microcontrollers

Microchip. PICmicro Microcontrollers Microchip PICmicro Microcontrollers 8-bit 16-bit dspic Digital Signal Controllers Analog & Interface Products Serial EEPROMS Battery Management Radio Frequency Device KEELOQ Authentication Products Návrh

Více

Jízda po čáře pro reklamní robot

Jízda po čáře pro reklamní robot Jízda po čáře pro reklamní robot Předmět: BROB Vypracoval: Michal Bílek ID:125369 Datum: 25.4.2012 Zadání: Implementujte modul do podvozku robotu, který umožňuje jízdu robotu po předem definované trase.

Více

ETC Embedded Technology Club setkání zahájení druhého ročníku

ETC Embedded Technology Club setkání zahájení druhého ročníku ETC Embedded Technology Club setkání 24.10. 2017 zahájení druhého ročníku Katedra telekomunikací, Katedra měření, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 3_2roč. 24.10.2017, ČVUT- FEL, Praha

Více

PŘÍLOHY. PRESTO USB programátor

PŘÍLOHY. PRESTO USB programátor PŘÍLOHY PRESTO USB programátor 1. Příručka PRESTO USB programátor Popis indikátorů a ovládacích prvků Zelená LED (ON-LINE) - PRESTO úspěšně komunikuje s PC Žlutá LED (ACTIVE) - právě se komunikuje s uživatelskou

Více

ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV

ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV DV300 ZÁKLADNÍ CHARAKTERISTIKA Procesor PowerQUICC II MPC8270 (jádro PowerPC 603E s integrovanými moduly FPU, CPM, PCI a paměťového řadiče) na frekvenci 266MHz 6kB datové cache,

Více

ETC Embedded Technology Club setkání

ETC Embedded Technology Club setkání ETC Embedded Technology Club setkání 2.5. 2017 Katedra telekomunikací, Katedra měření, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 14. 2.5.2017, ČVUT- FEL, Praha 1 Náplň Skupina 1: operační

Více

Převodník Ethernet ARINC 429

Převodník Ethernet ARINC 429 Převodník Ethernet ARINC 429 Bakalářská práce Tomáš Levora ČVUT FEL levortom@fel.cvut.cz Tomáš Levora (ČVUT FEL) Převodník Ethernet ARINC 429 levortom@fel.cvut.cz 1 / 25 Zadání Převádět data ze sběrnice

Více

Mikrokontrolery. Úvod do obvodů Atmega 328 a PIC16F88

Mikrokontrolery. Úvod do obvodů Atmega 328 a PIC16F88 Mikrokontrolery Úvod do obvodů Atmega 328 a PIC16F88 Texty sestavili Petr Nejedlý a Lukáš Čížek, 4EA, 2013 Vlastnosti a funkce: Atmega 328 Flash 32Kbyte Max. Frequence 20Mhz SRAM 2Kbyte EEPROM 1024 byte

Více

ETC Embedded Technology Club setkání zahájení druhého ročníku

ETC Embedded Technology Club setkání zahájení druhého ročníku ETC Embedded Technology Club setkání 10.10. 2017 zahájení druhého ročníku Katedra telekomunikací, Katedra měření, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 1_2r 10.10.2017, ČVUT- FEL, Praha

Více

Mikrořadiče fy ATMEL

Mikrořadiče fy ATMEL Mikrořadiče fy ATMEL Struktura mikrořadičů fy ATMEL progresivní typy AVR je navržena tak, aby co nejvíce vyhovovala i překladačům vyšších programovacích jazyků, zejména široce používaného jazyka C. Optimalizované

Více

Specifikace - SHARK. Projekt: procesorová deska s MPC 52000

Specifikace - SHARK. Projekt: procesorová deska s MPC 52000 Specifikace - SHARK Projekt: procesorová deska s MPC 52000 1 z 16 Obsah 1. Popis zařízení... 3 1.1. Terminologie a zkratky... 3 1.2. Blokové schéma... 4 1.3. Specifikace jádra systému... 4 1.3.1. Procesor...

Více

TEMPO průmyslový panelový počítač

TEMPO průmyslový panelový počítač TEMPO průmyslový panelový počítač ELSACO, Jaselská 177, 280 00 Kolín, CZ http://www.elsaco.cz mail: elsaco@elsaco.cz stručné představení struktura toku informací v technologických sítích prezentace dat

Více

Přednáška 8,9 Generátory hodinového signálu a dohlížecí obvody. ve vest. systémech 2013, kat. měření, ČVUT - FEL, Praha. J.

Přednáška 8,9 Generátory hodinového signálu a dohlížecí obvody. ve vest. systémech 2013, kat. měření, ČVUT - FEL, Praha. J. Přednáška 8,9 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2013, J.Fischer, kat. měření,, ČVUT - FEL 1 Náplň přednášky Specifikace

Více

FREESCALE KOMUNIKAČNÍ PROCESORY

FREESCALE KOMUNIKAČNÍ PROCESORY FREESCALE KOMUNIKAČNÍ PROCESORY 1 Trocha historie: Freescale Semiconductor, Inc. byla založena v roce 2004 v Austinu v Texasu jako samostatná společnost, jelikož po více jak 50 byla součástí Motoroly.

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Procesory pro vestavné aplikace přehled, bloky

Procesory pro vestavné aplikace přehled, bloky Procesory pro vestavné aplikace přehled, bloky v. 2014 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2014, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Procesor pro vestavné aplikace- mikrořadič, struktura

Více

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. 2011, kat. měření, ČVUT - FEL, Praha. J. Fischer. Přednáška 7

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. 2011, kat. měření, ČVUT - FEL, Praha. J. Fischer. Přednáška 7 Přednáška 7 011, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň přednášky Poznámky ke cvičením: živení HW RS-3 + 5 V tolerance pinů STM3 log. obvody CBT dynamický odběr CMOS, blokování rozvodu napájení

Více

A0M38SPP - Signálové procesory v praxi - přednáška 10 2

A0M38SPP - Signálové procesory v praxi - přednáška 10 2 GPIO (konfigurace vstupu, výstupu, alt. funkce) GP timers Core timers Watchdog timer Rotary counter Real time clock Keypad interface SD HOST (MMC, SD interface) ATAPI (IDE) A0M38SPP - Signálové procesory

Více

Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer

Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška 12 2012, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky Rekapitulace

Více

Procesory pro vestavné aplikace přehled, bloky

Procesory pro vestavné aplikace přehled, bloky Procesory pro vestavné aplikace přehled, bloky v. 2015 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Procesor pro vestavné aplikace- mikrořadič, struktura

Více

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor FASTPort Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům aneb Jak připojit koprocesor *) inteligentní karta = karta vybavená vlastním procesorem J. Němeček 12. 10. 2013 úvodní

Více

Přednáška vložená 6. týden, výklad k úloze LCD, UART A4B38NVS - Návrh vestavěných systémů 2015, katedra měření, ČVUT - FEL, Praha. J.

Přednáška vložená 6. týden, výklad k úloze LCD, UART A4B38NVS - Návrh vestavěných systémů 2015, katedra měření, ČVUT - FEL, Praha. J. Přednáška vložená 6. týden, výklad k úloze LCD, UART A4B38NVS - Návrh vestavěných systémů 2015, katedra měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky

Více

PERIFERNÍ OBVODY A ROZHRANÍ V MIKROPROCESOROVÝCH SYSTÉMECH

PERIFERNÍ OBVODY A ROZHRANÍ V MIKROPROCESOROVÝCH SYSTÉMECH PERIFERNÍ OBVODY A ROZHRANÍ V MIKROPROCESOROVÝCH SYSTÉMECH 1.1 Sběrnice I 2 C I²C bus je zkratka, která vznikla z IIC bus, tedy Internal-Integrated-Circuit Bus. Jak již název napovídá, jedná se o interní

Více

Konfigurace portů u mikrokontrolérů

Konfigurace portů u mikrokontrolérů Konfigurace portů u mikrokontrolérů Porty u MCU Většina vývodů MCU má podle konfigurace některou z více funkcí. K přepnutí funkce dochází většinou automaticky aktivováním příslušné jednotky. Základní konfigurace

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 8 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2014 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

VETRONICS 760. Technická specifikace mobilní jednotky

VETRONICS 760. Technická specifikace mobilní jednotky Technická specifikace mobilní jednotky VETRONICS 760 Revize 1.0, květen 2017 PRINCIP a.s. Radlická 204/503, 158 00 Praha 5 Tel.: +420 257 21 09 04, Fax: +420 257 22 02 51 E-mail: centrum@princip.cz, reklamace@princip.cz

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

PK Design. MB-ATmega128 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (23.09.

PK Design. MB-ATmega128 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (23.09. MB-ATmega128 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (23.09.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

UniPi 1.1 Lite Technologická dokumentace

UniPi 1.1 Lite Technologická dokumentace UniPi 1.1 Lite Technologická dokumentace Obsah 1 Úvodní představení produktu... 3 2 Popis produktu... 4 2.1 Využití GPIO pinů... 5 2.2 GPIO konektor... 5 2.3 Napájení... 6 2.4 Montáž Raspberry Pi k UniPi

Více

Sběrnice používané pro sběr dat

Sběrnice používané pro sběr dat Programové prostředky pro měření a řízení, přednáška č. 9 Sběrnice používané pro sběr dat Ústav fyziky a měřicí techniky, VŠCHT Praha 2009 verze 1.0 1 Obsah přednášky Sériové komunikační sběrnice 1. Rozdělení

Více

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje ŘÍDÍCÍ ČLEN GCD 411 univerzální procesorový člen pro mikropočítačové systémy mikroprocesor PCF80C552 programová paměť 64kB FLASH PROM datová paměť 32kB SRAM nebo zálohovaná s RTC sériový kanál RS485 sběrnice

Více

Vestavné systémy BI-VES Přednáška 10

Vestavné systémy BI-VES Přednáška 10 Vestavné systémy BI-VES Přednáška 10 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

PRESTO. USB programátor. Uživatelská příručka

PRESTO. USB programátor. Uživatelská příručka PRESTO USB programátor Uživatelská příručka OBSAH 1. PRESTO 3 1.1. Použití 3 2. Obsah dodávky 3 3. Instalace 3 3.1. Instalace ovladačů 3 3.2. Instalace programu UP 4 4. Popis programovacího konektoru 5

Více

Použití programovatelného čítače 8253

Použití programovatelného čítače 8253 Použití programovatelného čítače 8253 Zadání 1) Připojte obvod programovatelný čítač- časovač 8253 k mikropočítači 89C52. Pro čtení bude obvod mapován do prostoru vnější programové (CODE) i datové (XDATA)

Více

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic.

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic. Základní principy konstrukce systémové sběrnice - shrnutí Shrnout základní principy konstrukce a fungování systémových sběrnic. 1 Co je to systémová sběrnice? Systémová sběrnice je prostředek sloužící

Více

Vývojové kity Mega48,

Vývojové kity Mega48, Vývojové kity Mega48, Mega48 Mega48X a Mega328 Ucelená řada ada vývojových kitů s obvody ATmega48 a ATmega328 je vhodná jak pro výukové účely ely a seznámení se s funkcemi mikrokontrolér mikrokontrolérů,

Více

Návrh konstrukce odchovny 2. dil

Návrh konstrukce odchovny 2. dil 1 Portál pre odborné publikovanie ISSN 1338-0087 Návrh konstrukce odchovny 2. dil Pikner Michal Elektrotechnika 19.01.2011 V minulem dile jsme si popsali návrh konstrukce odchovny. senzamili jsme se s

Více

Metody připojování periferií BI-MPP Přednáška 1

Metody připojování periferií BI-MPP Přednáška 1 Metody připojování periferií BI-MPP Přednáška 1 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Aplikace vestavných systémů

Aplikace vestavných systémů Aplikace vestavných systémů 2015, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Přednášející doc. Ing. Jan Fischer, CSc., kat. měření, míst. 441/1, tel. 2 2435 2179 Ing. Radek Sedláček, PhD cvičení: lab.

Více

Maturitní témata - PRT 4M

Maturitní témata - PRT 4M Maturitní témata - PRT 4M ústní zkouška profilové části Maturita - školní rok 2015/2016 1. Architektura mikrořadičů a PC 2. Popis mikrořadičů řady 51 3. Zobrazovací jednotky 4. Řadiče Atmel 5. Hradlová

Více

PK Design. MB-ATmega128 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10.

PK Design. MB-ATmega128 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10. MB-ATmega128 v4.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (10.10.2008) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2012 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2012, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Náplň přednášky 7. 148 www.vsb.cz

Náplň přednášky 7. 148 www.vsb.cz 147 Přednáška 7 Náplň přednášky 7 Komunikace v řídicích systémech. Paralelní a sériové rozhraní. Průmyslové komunikační sítě. RS232, SPI, I2C, USB, CAN, LIN, Ethernet. 148 www.vsb.cz Komunikační rozhraní

Více

Uživatelská příručka

Uživatelská příručka Rele Control Elektronické ovládání výstupů Uživatelská příručka ver. 1.36 (09/02/2006) revize 07.10.2006 HW PROGRESS Milan Jaroš OBSAH: 1 Seznámení... 3 1.1 Určení... 3 1.2 Základní údaje... 3 1.3 Složení

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení Připojení periferních zařízen zení 1 Koncepce připojení V/V zařízení V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče specializované (řadič disku) lze k nim připojit jen zařízení určitého

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

Přednáška 7, 8 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech

Přednáška 7, 8 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech Přednáška 7, 8 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech 2015, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň přednášky Zdroje hodinového signálu krystalový oscilátor systém

Více

Periferní operace využívající přímý přístup do paměti

Periferní operace využívající přímý přístup do paměti Periferní operace využívající přímý přístup do paměti Základní pojmy Programová obsluha periferní operace řízení této činnosti procesorem. Periferní operace využívající přerušení řízení řadičem přerušení,

Více

Napájení mikroprocesorů. ČVUT- FEL, katedra měření, přednášející Jan Fischer. studenty zapsané v předmětu: A4B38NVS

Napájení mikroprocesorů. ČVUT- FEL, katedra měření, přednášející Jan Fischer. studenty zapsané v předmětu: A4B38NVS Napájení mikroprocesorů v. 2012 Materiál je určen jako pomocný materiál pouze pro studenty zapsané v předmětu: A4B38NVS ČVUT- FEL, katedra měření, přednášející Jan Fischer A4B38NVS, 2012, J.Fischer, kat.

Více

Vývojový kit osazený procesorem ARM - Cookie

Vývojový kit osazený procesorem ARM - Cookie Vývojový kit osazený procesorem ARM - Cookie Pro edici NuMicro 1.0 Web: www.coocox.org Fórum: forum.coocox.org Technická podpora: master@coocox.com Marketing: market&coocox.com 1. Úvod Cookie je open-source

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

ATAVRDRAGON-návod k použití

ATAVRDRAGON-návod k použití ATAVRDRAGON-návod k použití Firma ATMEL představila skutečně levný, a tím pádem všem dostupný, ladící a vývojový prostředek pro práci s mikrokontroléry řady ATtiny a ATmega s názvem AVR Dragon. Dle sdělení

Více

Mikroprocesory Z8Encore! firmy ZiLOG

Mikroprocesory Z8Encore! firmy ZiLOG Mikroprocesory Z8Encore! firmy ZiLOG vypracoval: Lukáš Ručkay ročník: 5. v Praze 6.5.2004 ZiLOG Historie osmibitových mikroprocesorů a mikrořadičů ZiLOG Americká firma ZiLOG vstoupila na trh mikroprocesorů

Více

ETC Embedded Technology Club setkání 3, 3B zahájení třetího ročníku

ETC Embedded Technology Club setkání 3, 3B zahájení třetího ročníku ETC Embedded Technology Club setkání 3, 3B 9.10. 2018 zahájení třetího ročníku Katedra měření, Katedra telekomunikací,, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 3, 3B 23.10.2018, ČVUT- FEL,

Více

Řádkové snímače CCD. zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer

Řádkové snímače CCD. zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer Řádkové snímače CCD v. 2011 Materiál je určen pouze jako pomocný materiál pro studenty zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer Jan Fischer,

Více

Vana RC0001R1 RC0001R1

Vana RC0001R1 RC0001R1 Vana RC0001R1 Vana RC0001R1 má celkem 21 pozic o šířce čelního panelu 4 moduly. Je určena pro obecné použití s deskami systému Z102, který používá pro komunikaci mezi procesorovou deskou a obecnými I/O

Více

Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1

Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1 Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1 ARM - historie ARM - RISC procesory (původ britská firma Acorn, procesory - stolní počítače později

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

Mikrořadiče společnosti Atmel

Mikrořadiče společnosti Atmel Mikrořadiče společnosti Atmel Společnost Atmel je významným výrobcem mikrořadičů (MCU) na trhu. Svou produkci v této oblasti člení do čtyř větších skupin: mikrořadiče pro bezdrátové technologie, architekturu

Více

Cíl přednášky: Obsah přednášky:

Cíl přednášky: Obsah přednášky: Cíl přednášky: Vysvětlit principy konstrukce a principy činnosti sběrnice PCI, dát je do relace s obecnými principy konstrukce systémových sběrnic. Upozornit na odlišnosti konstrukce sběrnice PCI od předcházejících

Více

MIKROPROCESOROVÁ TECHNIKA

MIKROPROCESOROVÁ TECHNIKA MODERNIZACE VÝUKOVÝCH MATERIÁLŮ A DIDAKTICKÝCH METOD - CZ.1.07/2.2.00/15.0463 MIKROPROCESOROVÁ TECHNIKA LEKCE 1 Ing. Daniel Zuth, Ph.D. 2012 ÚVODNÍ HODINA DO PŘEDMĚTU MIKROPROCESOROVÁ TECHNIKA OBSAH Úvod

Více

DESKA ANALOGOVÝCH VSTUPŮ ±24mA DC, 16 bitů

DESKA ANALOGOVÝCH VSTUPŮ ±24mA DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení analogových vstupů Doba převodu A/D ms Vstupní rozsah ±ma, ±ma DC Rozlišení vstupů bitů Přesnost vstupů 0,0% z rozsahu Galvanické oddělení vstupů od systému a od sebe

Více

ŠESTNÁCTIKANÁLOVÝ A/D PŘEVODNÍK ±30 mv až ±12 V DC, 16 bitů

ŠESTNÁCTIKANÁLOVÝ A/D PŘEVODNÍK ±30 mv až ±12 V DC, 16 bitů ZÁKLADNÍ CHARAKTERISTIKA Připojení 16 analogových vstupů Měření stejnosměrných napěťových signálů Základní rozsahy ±120mV nebo ±12V Další rozsahy ±30mV nebo ±3V Rozlišení 16 bitů Přesnost 0,05% z rozsahu

Více

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů Zdeněk Oborný Freescale 2013 1. Obecné vlastnosti Cílem bylo vytvořit zařízení, které by sloužilo jako modernizovaná náhrada stávající

Více

DUM č. 6 v sadě. 31. Inf-7 Technické vybavení počítačů

DUM č. 6 v sadě. 31. Inf-7 Technické vybavení počítačů projekt GML Brno Docens DUM č. 6 v sadě 31. Inf-7 Technické vybavení počítačů Autor: Roman Hrdlička Datum: 28.11.2013 Ročník: 1A, 1B, 1C Anotace DUMu: přehled interních sběrnic a vstup-výstupních interface

Více

Z čeho se sběrnice skládá?

Z čeho se sběrnice skládá? Sběrnice Co je to sběrnice? Definovat sběrnici je jednoduché i složité zároveň. Jedná se o předávací místo mezi (typicky) více součástkami počítače. Sběrnicí však může být i předávací místo jen mezi dvěma

Více

Obsah. O autorovi 11 Předmluva 13 Zpětná vazba od čtenářů 14 Errata 14

Obsah. O autorovi 11 Předmluva 13 Zpětná vazba od čtenářů 14 Errata 14 Obsah O autorovi 11 Předmluva 13 Zpětná vazba od čtenářů 14 Errata 14 KAPITOLA 1 Úvod k počítači Raspberry Pi 15 Hardware 16 Mikroprocesor Broadcom 2835 / grafický procesor 16 Paměť 18 Konektory počítače

Více

Pozice sběrnice v počítači

Pozice sběrnice v počítači SBĚRNICE - souhrn Pozice sběrnice v počítači Systémová sběrnice nebo vstup/výstupní sběrnice. Systémová sběrnice komunikace mezi procesorem a ostatními komponentami počítače Operace: zápis/čtení do/z registru,

Více

Úloha Ohmetr zadání úlohy

Úloha Ohmetr zadání úlohy Úloha Ohmetr zadání úlohy Přednáška 3 - část A3B38MMP kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL 1 Měření odporu pomocí MKO 74121 Sestavte mikroprocesorem

Více

Kontrolní otázky a okruhy k testu v semestru A4B38NVS (verze r. 2012) Procesory s jádrem ARM Cortex - M3, (V dalším textu dotazy směřují na jádro ARM

Kontrolní otázky a okruhy k testu v semestru A4B38NVS (verze r. 2012) Procesory s jádrem ARM Cortex - M3, (V dalším textu dotazy směřují na jádro ARM Kontrolní otázky a okruhy k testu v semestru A4B38NVS (verze r. 2012) Procesory s jádrem ARM Cortex - M3, (V dalším textu dotazy směřují na jádro ARM Cortex- M3 - proto, pokud je dotaz na procesor, míní

Více