Rozhraní mikrořadiče, SPI, IIC bus,..

Rozměr: px
Začít zobrazení ze stránky:

Download "Rozhraní mikrořadiče, SPI, IIC bus,.."

Transkript

1 Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1

2 Rozhraní SPI Rozhraní SPI ( Serial Peripheral Interface) - původ firma Motorola SPI není typ bus - sběrnice, ale pouze rozhraní (interface) typu bod - bod master - procesor, generace hod. sig. SCK, slave - podřízená jednotka, výstup ze SLAVE M ISO - třístavový pokud je u slave /ss = H, není aktivní výstup MISO SPI hod. generátor MASTER MISO 8 bit pos. registr 8 bit pos. registr MISO SCK MOSI - Master Output Slave Input MISO - Master Input Slave Output SCK - serial clock SS - slave select MOSI MOSI A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 2 SS +5V SS SCK SLAVE

3 SPI, komunikace s nastavením fáze CPHA = 0 nastavení polarita a fáze hodin (4 kombinace) MOSI výstup MISO - vstup CPHA = 0 při čtení nevýhoda - slave musí poskytnout data (MSB) na vodiči MISO ihned po /ss (slave select), s první hranou SCK se data vzorkují, s další hranou SCK se vysouvá další bit dat nastavení - clock phase- CPHA = 0 SCK cyklus SCK (CPOL=0) SCK (CPOL=1) MOSI (z master) MISO (ze slave) MSB MSB LSB LSB SS (do slave) A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 3

4 SPI, komunikace s nastavením fáze CPHA =1 Slave i master poskytnou první data (MSB) až po první hraně hodin SCK, master i slave čtou MSB s druhou hranou hodin SCK CYKLUS SCK (CPOL=0) nastavení - clock phase- CPHA = SCK (CPOL=1) MOSI (z master) MSB LSB MISO (ze slave) MSB LSB SS (DO SLAVE) A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 4

5 SPI rozhraní, připojení jednotek slave Připojení více jednotek slave na jeden master, výběr pomocí vstupu /ss, aktivace jednotlivých /ss - programově řízenými výstupy (není součástí rozhraní SPI). Např. u AT89S8252 ovládání pomocí pinů brány P1, P3,.. Vstup /ss - u slave, obdoba funkce /CS - chip select jako pamětí. Určení, s kterou jednotkou slave se komunikuje MOSI MISO SCK SS Udd MOSI MISO SCK SS slave 1 master port MOSI MISO SCK SS MOSI MISO SCK SS slave 2 slave 3 A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 5

6 Programování paměti FLASH mikroprocesoru pomocí SPI Řada up, možnost naprogramování interní FLASH prostřednictvím SPI ISP - In - System Programming - programování paměti FLASH mikropočítače v obvodu Naprogramování mikropočítače v obvodu: aktuálním kódem - program ve FLASH, kalibrační data, specifická data - jazyková lokalizace přístroje (varianty hlášení a textů), sériové číslo, Data v EEPROM - kalibrační data,... Možnost výrobní diagnostiky - naprogramování diagnostických programů, s ISP uvažovat při návrhu obvodu a desek plošných spojů a konektorů A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 6

7 Programování paměti FLASH AT89S8252 pomocí SPI Držet reset na Ucc, SPI je v slave módu, - nutný krystal nebo vnější hodinový sig. na vstup XTAL1 ( 3 až 24 M Hz) MOSI ( na P1.5) je vstupem, M ISO (na P1.6) je výstupem, SCK ( na P1.7) je vstupem, Signály MOSI, MISO, SCK v master a slave módu zůstávají na stejných pinech, pouze se mění jejich funkce z hlediska směru toku signálu - změna výstup signálu na vstup signálu Možnost programovat FLASH (code) i EEPROM (xdata) SERIAL CLOCK INPUT SCK/P SERIAL D ATA INPUT MOSI/P1.5 MSB LSB SERIAL D ATA OUTPUT MISO/P1.6 MSB LSB A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 7

8 Příkazy ISP programování AT89S8252 pomocí SPI Code memory- paměť programu CODE, data memory - paměť EEPROM ISP pomocí SPI - programování nového i již naprogramovaného čipu Pozor na lock bity - u některých up - možnost totálně zamknout procesor pro ISP, řešení - pouze paralelní programování???(plošný spoj)!!! A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 8

9 ISP pomocí SPI rozhraní v mikropočítačích ISP funkce, u up firmy Atmel, v označení písmeno S AT89S8252, AT89S8253, AT89S2051, SPI rozhraní AT90S řada AVR možnost ISP, i když není použito S např. - AT89C51RC2, ATmega32 u některých up- možnost programování doplňkové informace - User Signature u AT89S Byte pro doplňkové uživatelské informace - označení uživatele, možnost naprogramování výrobního čísla přístroje, informace pro sledování výrobku, Obdoba funkce User Signature i u jiných up A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 9

10 Programování typu -ISP s využitím funkce BOOT loader U některých up možnost programování ISP využitím UART a prog. BOOT loader ( AT89C51 RC2, ), Aktivaced programu Boot loader na čipu spec. sekvencí, program - boot loader aktivně komunikuje s rozhraním ( UART,..) a programuje vnitřní paměť Aktivace boot mode - u AT89C51RC2,. ADuC843,, signál ALE přes rezistor na GND, reset, uvolnit ALE, spec. program pro PC ( Atmel Flip, ) up řady ARM - STR750, STM32, LPC2105, LPC2148,.. AT91SAM7S64 - interní boot loader, možnost boot pomocí UART, někdy CAN, USB, Funkce boot - možnost začít práci s up bez specializovaného vybavení (emulátor, vývojový modul,..) pouze s vlastním procesorem - viz použití AT89C51RC2 předmětu X38PRM a procesoru STM32 v předmětu X38PMM na kat. měření ČVUT - FEL Další způsoby programování paměti FLASH- sériové rozhraní JTAG rozhraní Signály TDI, TDO, TCK, TMS, funkce ladění - On-chip Debug system JTAG obsahují ATmega 16, 32,..), C8051F020 ( Silabs),všechny procesory s jádrem ARM, STM32,. a většina nových up, alternativy JTAG - dvou vodičové ladicí rozhraní ( různé firemní varianty) A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 10

11 SPI rozhraní - implementace SPI rozhraní vysílání MSB typicky první, případně možnost volby LSB první 8 bitů dat ( typicky), v některých up možnost i 16 bitů dat) rychlosti komunikace, frekvence SCK - programovatelná, 1 MHz, u některých up frekvence SCK až 10 - ky MHz Možnost programové implementace rozhraní SPI u up, které nemají rozhraní SPI integrováno na čipu - emulace funkce SPI master - programově ovládanými piny brány, při programové implementaci signál SCK nemusí být synchronní ( nemusí mít konstantní periodou). Použití SPI - připojení vstupů. výstupů s posuvnými registry ( 595), specializovaných obvodů, pamětí, připojení AD, DA převodníků s SPI, budiče LED, budiče relé, řadiče LCD,. Paměti FLASH s rozhraním SPI např. M25P32-4 MByte, jako paměť dat, záznam, černá skříňka, Karty MMC, SD - možnost komnikace s rozhraním SPI, použití karet jako vnější paměti dat, adresace po sektorech 512 byte, A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 11

12 SPI rozhraní využití pro boot SPI rozhraní pro boot programu z externí paměti Flash např. signálové procesory ADSP BF 533 Blackfin procesory Cy7c68013A, TUSB 6250 ( high speed USB) není technologicky možná Flash na čipu, pouze SRAM boot programu z IIC bus Flash do interní programové SRAM. A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 12

13 Připojení řadiče emulovaným rozhraním sběrnice Připojení programovatelných řadičů PPI 8255, 8253,. řadič Ethernet, řadič CAN,.standardně na sběrnici mikropočítače? je možno použít čítače / časovače 8253 s obvodem AT89C2051, který nemá vyvedenu sběrnici? ANO Programová emulace sběrnice pomocí brány P1 a pinů z brány P3, programová emulace funkce signálu /RD, /WR, adresy A1, A0 pomocí pinů brány Jeden cyklus zápisu nebo čtení - potřeba více instrukcí, pozor- změna směru brány- vstupní- výstupní mód Pozn. pozor AT89C2051 na P1.0 a P1.1 přidat pull up rezistory Příklad - připojení ext. řadiče Ethernet k ARM7 typu LPC2114, viz, aplik. nota firmy NXP A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 13

14 Rozhraní IIC bus Rozhraní - IIC bus, Inter Integrated Circuit Bus, původce, patent, firma Philips ( nyní NXP), označení také I2CBus, původní určení - spotřební elektronika (radio, TV, video, ) Typ sběrnice- otevřený kolektor, připojení více obvodů, master - slave, možnost - multimaster. R P R P VDD +5V SDA SCL SCL 1 výst. DATA 1 výst. SCL 2 výst. DATA 2 výst. SDA sériová data SCL vstup DATA vstup SCLK VST. DATA VST. SCL sériové hodiny A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 14

15 Rozhraní IIC bus - signály SCL - hodinový signál, generuje master SDA - data, generuje master nebo slave Změna stavu SDA při přenosu dat možná pouze při SCL = L Frekvence SCL - max. 100 khz, standard, 400 KHz fast, signál SCL nemusí být synchronní, není určen minimální frekvence (možnost asynchronní signál) SDA SCL data platná při SCL = 1 změna dat při SCL = 0 data stabilní změna dat data stabilní SDA 0 1 přenos bitů 0 a 1 SCL A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 15

16 Rozhraní IIC bus- start, stop začátek a konec přenosu zprávy určuje master začátek přenosu - start, SDA spádová hrana při SCL = H konec přenosu - stop, SDA náběžná hrana při SCL = H SDA start stop SCL S P A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 16

17 Rozhraní IIC bus - potvrzení Příklad - přenos dat ACh z master do slave s adresou 50h adresace slave (7 bitů + příznak čtení/ zápis, ACK - potvrzení od slave, přenos dat 8 bitů, ACK - slave, stop - master obvykle - přenosy více Byte potvrzení - ACK přijímajícím (master, nebo slave) start S SDA zápis R A 6 A 5 A 4 A 3 A 2 A 1 A 0 W A C K MSB D 7 D 6 D 5 D 4 D 3 D 2 D 1 LSB D A C K stop P SCL ACK ze slave adresace data A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 17

18 Přenos vícebajtové zprávy po rozhraní IIC Po start a vyslání adresy obvodu - možný přenos dat pouze jedním směrem, čtení nebo zápis Kombinovaný přenos - start - zápis, nový start (bez stop) čtení, konec- stop. master zapisuje do slave master S adr. W data data data P slave ACK ACK ACK ACK master čte ze slave NOT master S adr. R ACK ACK ACK P slave ACK data data data A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 18

19 Rozhraní IIC Bus, implementace Rozhraní IIC bus implementováno v řadě up, i pod jinými názvy, např. TWI (Two Wire Interface) a další- z důvodu patentové ochrany. Možnost programové emulace rozhraní IIC Bus v up, které nemají IIC Bus, využití vstupně výstupních bran - úprava na režim emulace funkce otevřený kolektor - přepínání výstup - stav L, nebo vstup. U AT89S8252 možno využít piny přímo - standardní funkce otevřený kolektor. A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 19

20 Rozhraní IIC Bus, použití Rozšíření a specifikace komunikace - SMBus - System Management Bus (firmy -Intel, Duracel,.) je nyní v každém PC. Použití IIC Bus, mnoho integrovaných obvodů, obvodově nenáročné, pouze dva vodiče SCL, SDA, Příklad paměť 24C02, snímače teploty, obvody pro dohled v PC, obvody spotřební elektroniky, IO expandery, obvody RTC ( Real Time clock), IIC Busnastavení CMOS obrazových senzorů, viz senzory firem Kodak, Micron - Aptina,.) Další informace: IIC bus, princip funkce, použití SM Bus specifikace A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 20

21 Převodníky A/D, D/A Dle folií a výkladu na přednášce a monografie: Vedral, Fischer: Elektronické obvody v měřicí technice Rozhraní RS232, úrovně Sběrnicově kompatibilní převodníky A/D a D/A Převodníky s dvojnásobným bufferováním, použítí ve vícebitových převodnících D/A a ve vícekanálových převodnících D/A. Zarovnání dat doleva, zarovnání dat doprava u převodníku A/D Informace ke zkoušce A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 21

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 14 - X38MIP -2009, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral Interface) - původ firma Motorola SPI není typ

Více

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J.

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň přednášky Druhá část. přednášky 12 Sériové rozhraní SPI, Sériové rozhraní IIC A4B38NVS, 2011, kat. měření,

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12)

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky Sériová rozhraní rozhraní

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha. J. Fischer

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha. J. Fischer Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Náplň přednášky Sériová rozhraní

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2014 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2012 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2012, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 10 (11)

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 10 (11) Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 10 (11) A4B38NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Náplň přednášky Sériová rozhraní

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Vstupy, výstupy vestavných systémů

Vstupy, výstupy vestavných systémů Vstupy, výstupy vestavných systémů v. 2015 Materiál je určen jako pomocný materiál pouze pro studenty zapsané v předmětu: A4M38AVS A4M38AVS, 2015, J. Fischer, kat. měření, ČVUT - FEL, Praha 1 Připojení

Více

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12.

PK Design. MB-ATmega16/32 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (21.12. MB-ATmega16/32 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (21.12.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Procesory pro vestavné aplikace přehled

Procesory pro vestavné aplikace přehled Procesory pro vestavné aplikace přehled v. 2013 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Mikroprocesory pro vestavné aplikace rysy Široké spektrum

Více

Úloha Ohmetr zadání úlohy

Úloha Ohmetr zadání úlohy Úloha Ohmetr zadání úlohy Přednáška 3 - část A3B38MMP kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL 1 Měření odporu pomocí MKO 74121 Sestavte mikroprocesorem

Více

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů )

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Führer Ondřej, FUH002 1. AVR procesory obecně

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

FREESCALE KOMUNIKAČNÍ PROCESORY

FREESCALE KOMUNIKAČNÍ PROCESORY FREESCALE KOMUNIKAČNÍ PROCESORY 1 Trocha historie: Freescale Semiconductor, Inc. byla založena v roce 2004 v Austinu v Texasu jako samostatná společnost, jelikož po více jak 50 byla součástí Motoroly.

Více

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013 Paměti Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 1 Paměti - základní pojmy

Více

Mikrořadiče fy ATMEL

Mikrořadiče fy ATMEL Mikrořadiče fy ATMEL Struktura mikrořadičů fy ATMEL progresivní typy AVR je navržena tak, aby co nejvíce vyhovovala i překladačům vyšších programovacích jazyků, zejména široce používaného jazyka C. Optimalizované

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA ELEKTROTECHNICKÁ Katedra řídící techniky Komunikace mezi magnetometrem a ARM procesorem BAKALÁŘSKÁ PRÁCE Praha 2008 Vypracoval: Jan Hajný Vedoucí práce: Ing.

Více

Vestavné systémy BI-VES Přednáška 10

Vestavné systémy BI-VES Přednáška 10 Vestavné systémy BI-VES Přednáška 10 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

Úvod do mobilní robotiky NAIL028

Úvod do mobilní robotiky NAIL028 md at robotika.cz http://robotika.cz/guide/umor08/cs 6. října 2008 1 2 Kdo s kým Seriový port (UART) I2C CAN BUS Podpora jednočipu Jednočip... prostě jenom dráty, čti byte/bit, piš byte/bit moduly : podpora

Více

Microchip. PICmicro Microcontrollers

Microchip. PICmicro Microcontrollers Microchip PICmicro Microcontrollers 8-bit 16-bit dspic Digital Signal Controllers Analog & Interface Products Serial EEPROMS Battery Management Radio Frequency Device KEELOQ Authentication Products Návrh

Více

PK Design. EVMS-mega128. Uživatelský manuál. v1.0. Výuková deska s mikrokontrolerem ATmega128. Obr. 1 - výuková deska s LCD displejem

PK Design. EVMS-mega128. Uživatelský manuál. v1.0. Výuková deska s mikrokontrolerem ATmega128. Obr. 1 - výuková deska s LCD displejem EVMS-mega128 v1.0 Výuková deska s mikrokontrolerem ATmega128 Uživatelský manuál Obr. 1 - výuková deska s LCD displejem Obr. 2 - výuková deska bez LCD displeje Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 2.2

Více

Úvod do mobilní robotiky AIL028

Úvod do mobilní robotiky AIL028 md at robotika.cz http://robotika.cz/guide/umor07/cs 11. října 2007 1 Definice Historie Charakteristiky 2 MCU (microcontroller unit) ATmega8 Programování Blikání LEDkou 3 Kdo s kým Seriový port (UART)

Více

Registrační teploměr

Registrační teploměr Popis zapojení: Registrační teploměr ukládá aktuální teplotu do paměti EEPROM v intervalu jedné hodiny. Zařízení je vybaveno zdrojem reálného času (RTC), který zároveň probouzí mikroprocesor ze stavu spánku.

Více

PRESTO. USB programátor. Uživatelská příručka

PRESTO. USB programátor. Uživatelská příručka PRESTO USB programátor Uživatelská příručka OBSAH 1. PRESTO 3 1.1. Použití 3 2. Obsah dodávky 3 3. Instalace 3 3.1. Instalace ovladačů 3 3.2. Instalace programu UP 4 4. Popis programovacího konektoru 5

Více

Univerzita Pardubice. Fakulta Elektrotechniky a Informatiky. Monitoring rozhraní I2C Autor práce: Radek Šplíchal. Vedoucí práce: Ing.

Univerzita Pardubice. Fakulta Elektrotechniky a Informatiky. Monitoring rozhraní I2C Autor práce: Radek Šplíchal. Vedoucí práce: Ing. Univerzita Pardubice Fakulta Elektrotechniky a Informatiky Monitoring rozhraní I2C Autor práce: Radek Šplíchal Vedoucí práce: Ing. Martin Hájek Bakalářská práce 2009 University of Pardubice Faculty of

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení Připojení periferních zařízen zení 1 Koncepce připojení V/V zařízení V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče specializované (řadič disku) lze k nim připojit jen zařízení určitého

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií Autor: Tomáš Válek, xvalek02@stud.fit.vutbr.cz Login: xvalek02 Datum: 21.listopadu 2012 Obsah 1 Úvod do rozhraní I 2 C (IIC) 1 2 Popis funkčnosti

Více

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje ŘÍDÍCÍ ČLEN GCD 411 univerzální procesorový člen pro mikropočítačové systémy mikroprocesor PCF80C552 programová paměť 64kB FLASH PROM datová paměť 32kB SRAM nebo zálohovaná s RTC sériový kanál RS485 sběrnice

Více

Specifikace - SHARK. Projekt: procesorová deska s MPC 52000

Specifikace - SHARK. Projekt: procesorová deska s MPC 52000 Specifikace - SHARK Projekt: procesorová deska s MPC 52000 1 z 16 Obsah 1. Popis zařízení... 3 1.1. Terminologie a zkratky... 3 1.2. Blokové schéma... 4 1.3. Specifikace jádra systému... 4 1.3.1. Procesor...

Více

Operační paměti počítačů PC

Operační paměti počítačů PC Operační paměti počítačů PC Dynamické paměti RAM operační č paměť je realizována čipy dynamických pamětí RAM DRAM informace uchovávána jako náboj na kondenzátoru nutnost náboj pravidelně obnovovat (refresh)

Více

Procesory pro vestavné aplikace přehled, bloky

Procesory pro vestavné aplikace přehled, bloky Procesory pro vestavné aplikace přehled, bloky v. 2014 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2014, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Procesor pro vestavné aplikace- mikrořadič, struktura

Více

Mikrokontrolery. Úvod do obvodů Atmega 328 a PIC16F88

Mikrokontrolery. Úvod do obvodů Atmega 328 a PIC16F88 Mikrokontrolery Úvod do obvodů Atmega 328 a PIC16F88 Texty sestavili Petr Nejedlý a Lukáš Čížek, 4EA, 2013 Vlastnosti a funkce: Atmega 328 Flash 32Kbyte Max. Frequence 20Mhz SRAM 2Kbyte EEPROM 1024 byte

Více

Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem

Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem 9 221 PXC64-U DESIGO PX Podstanice DESIGO PX Modulární řada s rozšiřujícím modulem PXC-U PXA30-T Volně programovatelné automatizační podstanice pro řízení a regulaci VVK a technických zařízení budov Sběrnice

Více

Maturitní témata - PRT 4M

Maturitní témata - PRT 4M Maturitní témata - PRT 4M ústní zkouška profilové části Maturita - školní rok 2015/2016 1. Architektura mikrořadičů a PC 2. Popis mikrořadičů řady 51 3. Zobrazovací jednotky 4. Řadiče Atmel 5. Hradlová

Více

PK Design. MB-ATmega128 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (23.09.

PK Design. MB-ATmega128 v2.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (23.09. MB-ATmega128 v2.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (23.09.2004) Obsah 1 Upozornění... 3 2 Úvod... 4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Sběrnice používané pro sběr dat

Sběrnice používané pro sběr dat Programové prostředky pro měření a řízení, přednáška č. 9 Sběrnice používané pro sběr dat Ústav fyziky a měřicí techniky, VŠCHT Praha 2009 verze 1.0 1 Obsah přednášky Sériové komunikační sběrnice 1. Rozdělení

Více

Univerzální jednočipový modul pro řízení krokových motorů

Univerzální jednočipový modul pro řízení krokových motorů Středoškolská odborná činnost 2005/2006 Obor 10 elektrotechnika, elektronika, telekomunikace a technická informatika Univerzální jednočipový modul pro řízení krokových motorů Autor: Jan Fíla SPŠ Trutnov,

Více

PERIFERNÍ OBVODY A ROZHRANÍ V MIKROPROCESOROVÝCH SYSTÉMECH

PERIFERNÍ OBVODY A ROZHRANÍ V MIKROPROCESOROVÝCH SYSTÉMECH PERIFERNÍ OBVODY A ROZHRANÍ V MIKROPROCESOROVÝCH SYSTÉMECH 1.1 Sběrnice I 2 C I²C bus je zkratka, která vznikla z IIC bus, tedy Internal-Integrated-Circuit Bus. Jak již název napovídá, jedná se o interní

Více

Displej DT20-6. Update firmware řadiče. Simulační systémy Řídicí systémy Zpracování a přenos dat TM 2012_10_10 10. 10. 2012

Displej DT20-6. Update firmware řadiče. Simulační systémy Řídicí systémy Zpracování a přenos dat TM 2012_10_10 10. 10. 2012 Simulační systémy Řídicí systémy Zpracování a přenos dat Displej DT20-6 Autor: Ing. Jan Tupý TM 2012_10_10 10. 10. 2012 OSC, a. s. tel: +420 (5) 416 43 111 Staňkova 557/18a fax: +420 (5) 416 43 109 602

Více

Procesory pro vestavné aplikace přehled, bloky

Procesory pro vestavné aplikace přehled, bloky Procesory pro vestavné aplikace přehled, bloky v. 2015 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Procesor pro vestavné aplikace- mikrořadič, struktura

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer. A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1

Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer. A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1 Přednáška 10 2012, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření,, ČVUT - FEL 1 Náplň přednášky Čítače v MCU forma, principy činnosti A4B38NVS, 2012, J.Fischer, kat.

Více

Elektronická stavebnice: Deska s jednočipovým počítačem

Elektronická stavebnice: Deska s jednočipovým počítačem Elektronická stavebnice: Deska s jednočipovým počítačem Modul s jednočipovým počítačem Modul s řídícím jednočipovým počítačem je centrálním prvkem stavebnice. Jeho konstrukce umožňuje přímé připojení do

Více

Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Obr. 1: Brick s moduly [3]

Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Obr. 1: Brick s moduly [3] 2. Popis robota NXT Cílem této kapitoly je seznámit s parametry a moduly stavebnice NXT. Klíčové pojmy: Stavebnice, moduly, CPU, firmware, komunikace, brick. Nejdůležitější součástkou stavebnice je kostka

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

TECHNICKÁ UNIVERZITA V LIBERCI Studentská 1402/2 461 17 Liberec 1

TECHNICKÁ UNIVERZITA V LIBERCI Studentská 1402/2 461 17 Liberec 1 Technická univerzita v Liberci Studentská 1402/2, 461 17 Liberec IČ: 467 47 885 vyřizuje oddělení veřejných zakázek 1 VÝZVA K PODÁNÍ NABÍDEK A PROKÁZÁNÍ SPLNĚNÍ KVALIFIKACE A ZADÁVACÍ DOKUMENTACE Zadavatel

Více

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Přednáška 1. 2011, kat. měření, ČVUT - FEL, Praha J. Fischer

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Přednáška 1. 2011, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška 1 2011, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň HW návrh vestavěných systémů, komponenty a jejich využití, procesor jako součástka Logické obvody a jejich vlastnosti z hlediska spolupráce

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

ETC Embedded Technology Club setkání

ETC Embedded Technology Club setkání ETC Embedded Technology Club setkání 13.12. 2016 Katedra telekomunikací, Katedra měření, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 13.12.2016, ČVUT- FEL, Praha 1 Náplň Plán činnosti Výklad

Více

Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

Servozesilovače. Digitální servozesilovače TGA, TGP

Servozesilovače. Digitální servozesilovače TGA, TGP Servozesilovače Digitální servozesilovače TGA, TGP Digitální servozesilovače TGA 300 Digitální servozesilovače TGA 300 jsou určené pro řízení synchronních rotačních a lineárních servomotorů. Servozesilovače

Více

Vývojové kity Mega48,

Vývojové kity Mega48, Vývojové kity Mega48, Mega48 Mega48X a Mega328 Ucelená řada ada vývojových kitů s obvody ATmega48 a ATmega328 je vhodná jak pro výukové účely ely a seznámení se s funkcemi mikrokontrolér mikrokontrolérů,

Více

Jízda po čáře pro reklamní robot

Jízda po čáře pro reklamní robot Jízda po čáře pro reklamní robot Předmět: BROB Vypracoval: Michal Bílek ID:125369 Datum: 25.4.2012 Zadání: Implementujte modul do podvozku robotu, který umožňuje jízdu robotu po předem definované trase.

Více

PK Design. MB-ATmega128 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10.

PK Design. MB-ATmega128 v4.0. Uživatelský manuál. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (10.10. MB-ATmega128 v4.0 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (10.10.2008) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

CHARAKTERISTIKY MODELŮ PC

CHARAKTERISTIKY MODELŮ PC CHARAKTERISTIKY MODELŮ PC Historie: červenec 1980 skupina 12 pracovníků firmy IBM byla pověřena vývojem osobního počítače 12. srpna 1981 byl počítač veřejně prezentován do konce r. 1983 400 000 prodaných

Více

PROTOKOL O LABORATORNÍM CVIČENÍ

PROTOKOL O LABORATORNÍM CVIČENÍ STŘEDNÍ PRŮMYSLOVÁ ŠKOLA V ČESKÝCH BUDĚJOVICÍCH, DUKELSKÁ 13 PROTOKOL O LABORATORNÍM CVIČENÍ Provedl: Jan Kotalík Datum: 3.1. 2010 Číslo: Kontroloval/a Datum: 1. ÚLOHA: Návrh paměti Pořadové číslo žáka:

Více

PŘÍLOHY. PRESTO USB programátor

PŘÍLOHY. PRESTO USB programátor PŘÍLOHY PRESTO USB programátor 1. Příručka PRESTO USB programátor Popis indikátorů a ovládacích prvků Zelená LED (ON-LINE) - PRESTO úspěšně komunikuje s PC Žlutá LED (ACTIVE) - právě se komunikuje s uživatelskou

Více

Deska s STM32F103RBT6

Deska s STM32F103RBT6 Deska s STM32F103RBT6 Popis Verze 1.00 OLIMEXINO_board_cz_100 Obsah Historie revizí... 4 Související dokumentace... 4 1. Úvod... 6 2. Technické parametry... 7 2.1. Board Layout... 7 3. Popis konektorů,

Více

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007 Měřič krevního tlaku Ing. Martin Švrček martin.svrcek@phd.feec.vutbr.cz Ústav biomedicínckého inženýrství Fakulta elektrotechniky a komunikačních technologií VUT v Brně Kolejní 4, 61200 Brno Tento článek

Více

Přednáška 8,9 Generátory hodinového signálu a dohlížecí obvody. ve vest. systémech 2013, kat. měření, ČVUT - FEL, Praha. J.

Přednáška 8,9 Generátory hodinového signálu a dohlížecí obvody. ve vest. systémech 2013, kat. měření, ČVUT - FEL, Praha. J. Přednáška 8,9 Generátory hodinového signálu a dohlížecí obvody ve vest. systémech 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2013, J.Fischer, kat. měření,, ČVUT - FEL 1 Náplň přednášky Specifikace

Více

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1

Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1 Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1 ARM - historie ARM - RISC procesory (původ britská firma Acorn, procesory - stolní počítače později

Více

Snímače teploty a vlhkosti s komunikací po RS485 protokolem Modbus RTU - řada PHM

Snímače teploty a vlhkosti s komunikací po RS485 protokolem Modbus RTU - řada PHM Popis: Snímače jsou určeny pro měření teploty a vlhkosti vzduchu bez agresivních příměsí v klimatizačních kanálech, exteriérech a interiérech bez zvýšených estetických nároků na design. Měřené hodnoty

Více

AUTOMATICKÝ ANTÉNNÍ TUNER S INTELIGENTNÍM ALGORITMEM LADĚNÍ

AUTOMATICKÝ ANTÉNNÍ TUNER S INTELIGENTNÍM ALGORITMEM LADĚNÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Zadání úlohy: Vestavný systém schodišťový automat se zobrazením

Zadání úlohy: Vestavný systém schodišťový automat se zobrazením Zadání úlohy: Vestavný systém schodišťový automat se zobrazením Úkol: Navrhněte a realizujte vestavný systém řídicí jednotku schodišťového automatu s nastavením délky času sepnutí a jeho číslicovou indikací.

Více

Popis zapojení: < 27 dbµv. < 33 dbµv LW. S/N ratio (60 dbµv): > 57 db FM mono > 52 db AM

Popis zapojení: < 27 dbµv. < 33 dbµv LW. S/N ratio (60 dbµv): > 57 db FM mono > 52 db AM Tuner FM pro Raspberry Pi Malina pro kterou nebude problém generovat řídicí signály pro I2C. Na internetu najdeme velké množství příkladů jak ovládat I2C. Popis zapojení: Základem je profesionální tuner,

Více

ATAVRDRAGON-návod k použití

ATAVRDRAGON-návod k použití ATAVRDRAGON-návod k použití Firma ATMEL představila skutečně levný, a tím pádem všem dostupný, ladící a vývojový prostředek pro práci s mikrokontroléry řady ATtiny a ATmega s názvem AVR Dragon. Dle sdělení

Více

Náplň přednášky 7. 148 www.vsb.cz

Náplň přednášky 7. 148 www.vsb.cz 147 Přednáška 7 Náplň přednášky 7 Komunikace v řídicích systémech. Paralelní a sériové rozhraní. Průmyslové komunikační sítě. RS232, SPI, I2C, USB, CAN, LIN, Ethernet. 148 www.vsb.cz Komunikační rozhraní

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická BAKALÁŘSKÁ PRÁCE. 2008 Ondřej Chytra

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická BAKALÁŘSKÁ PRÁCE. 2008 Ondřej Chytra ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická BAKALÁŘSKÁ PRÁCE 2008 Ondřej Chytra ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Katedra měření BAKALÁŘSKÁ PRÁCE Řídící jednotka

Více

Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer

Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška 12 2012, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky Rekapitulace

Více

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p Přenos dat Ing. Jiří Vlček Následující text je určen pro výuku předmětu Číslicová technika a doplňuje publikaci Moderní elektronika. Je vhodný i pro výuku předmětu Elektronická měření. Přenos digitálních

Více

MIKROPROCESOROVÁ TECHNIKA

MIKROPROCESOROVÁ TECHNIKA MODERNIZACE VÝUKOVÝCH MATERIÁLŮ A DIDAKTICKÝCH METOD - CZ.1.07/2.2.00/15.0463 MIKROPROCESOROVÁ TECHNIKA LEKCE 1 Ing. Daniel Zuth, Ph.D. 2012 ÚVODNÍ HODINA DO PŘEDMĚTU MIKROPROCESOROVÁ TECHNIKA OBSAH Úvod

Více

TEMPO průmyslový panelový počítač

TEMPO průmyslový panelový počítač TEMPO průmyslový panelový počítač ELSACO, Jaselská 177, 280 00 Kolín, CZ http://www.elsaco.cz mail: elsaco@elsaco.cz stručné představení struktura toku informací v technologických sítích prezentace dat

Více

Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS

Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS Bakalářská práce Realizace jednoduchého uzlu RS485 s protokolem MODBUS Autor: Michal Štrick Vedoucí práce: Ing. Josef Grosman TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Základní deska (mainboard, motherboard)

Základní deska (mainboard, motherboard) Základní deska (mainboard, motherboard) Hlavním účelem základní desky je propojit jednotlivé součástky počítače do fungujícího celku a integrovaným součástem na základní desce poskytnout elektrické napájení.

Více

2007/2008 ZS. operačních systémů

2007/2008 ZS. operačních systémů Principy počítačů a operačních systémů SBĚRNICOVÉ SYSTÉMY Struktura sběrnice datové linky adresové linky řídící linky Sběrnicové systémy Výhody: přidávání zařízení nízká cena lehké zvládnutí komplexity

Více

Grafické adaptéry a monitory

Grafické adaptéry a monitory Grafické adaptéry a monitory 1 Obsah přednášky Generace grafických adaptérů. Principy AGP. Rozhraní monitorů. Principy tvorby barev. Organizace video paměti. Nově technologie výroby monitorů. 2 Vývojové

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,

Více

Obsah. Programátory ASIX

Obsah. Programátory ASIX Programátory Referenční příručka Adresa: E-Mail: WWW: ASIX s.r.o. Staropramenná 4 150 00 Praha - Smíchov asix@asix.cz (všeobecné dotazy) obchod@asix.cz (poptávky a objednávky zboží) www.asix.cz www.asix.net

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická BAKALÁŘSKÁ PRÁCE 2015 Pavel Gregar ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Katedra telekomunikační techniky Domácí meteostanice

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

USBASP AVR programovací modul Uživatelská příručka

USBASP AVR programovací modul Uživatelská příručka USBASP AVR programovací modul Uživatelská příručka Verze 1.1 Červen 2014 1 Obecné 1.1 Úvodem USBASP je ICSP programátor AVR procesoru rodiny ATtiny a ATmega společnosti Atmel. Sám programátor je postaven

Více

ZÁPADOČESKÁ UNIVERZITA V PLZNI FAKULTA ELEKTROTECHNICKÁ

ZÁPADOČESKÁ UNIVERZITA V PLZNI FAKULTA ELEKTROTECHNICKÁ ZÁPADOČESKÁ UNIVERZITA V PLZNI FAKULTA ELEKTROTECHNICKÁ KATEDRA APLIKOVANÉ ELEKTRONIKY A TELEKOMUNIKACÍ DIPLOMOVÁ PRÁCE AUDIO D/A PŘEVODNÍK Vedoucí práce: Ing. Zdeněk Kubík 2012 Autor: Bc. David Kříž Anotace

Více

ULTRAZVUKOVÝ DÁLKOMĚR

ULTRAZVUKOVÝ DÁLKOMĚR Středoškolská technika 2010 Setkání a prezentace prací středoškolských studentů na ČVUT ULTRAZVUKOVÝ DÁLKOMĚR Tomáš Pelikán, Václav Vejvoda Střední průmyslová škola dopravní, a.s. Plzeňská 102/219, 150

Více

ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV

ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV ŘÍDÍCÍ DESKA SYSTÉMU ZAT-DV DV300 ZÁKLADNÍ CHARAKTERISTIKA Procesor PowerQUICC II MPC8270 (jádro PowerPC 603E s integrovanými moduly FPU, CPM, PCI a paměťového řadiče) na frekvenci 266MHz 6kB datové cache,

Více

ETC Embedded Technology Club setkání zahájení druhého ročníku

ETC Embedded Technology Club setkání zahájení druhého ročníku ETC Embedded Technology Club setkání 10.10. 2017 zahájení druhého ročníku Katedra telekomunikací, Katedra měření, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 1_2r 10.10.2017, ČVUT- FEL, Praha

Více

Hardware. Z čeho se skládá počítač

Hardware. Z čeho se skládá počítač Hardware Z čeho se skládá počítač Základní jednotka (někdy také stanice) obsahuje: výstupní zobrazovací zařízení CRT nebo LCD monitor počítačová myš vlastní počítač obsahující všechny základní i přídavné

Více

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2014

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2014 Paměti Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2014 A3B38MMP, 2014, J. Fischer, ČVUT - FEL, Praha, kat. měření 1 Paměti - základní pojmy

Více

Smart Sensors and Wireless Networks Inteligentní senzory a bezdrátové sítě

Smart Sensors and Wireless Networks Inteligentní senzory a bezdrátové sítě XXX. ASR '2005 Seminar, Instruments and Control, Ostrava, April 29, 2005 519 Smart Sensors and Wireless Networks Inteligentní senzory a bezdrátové sítě WOJCIASZYK, Petr Ing., VŠB-TU Ostrava, FS, katedra

Více

ETC Embedded Technology Club setkání zahájení druhého ročníku

ETC Embedded Technology Club setkání zahájení druhého ročníku ETC Embedded Technology Club setkání 24.10. 2017 zahájení druhého ročníku Katedra telekomunikací, Katedra měření, ČVUT- FEL, Praha doc. Ing. Jan Fischer, CSc. ETC club, 3_2roč. 24.10.2017, ČVUT- FEL, Praha

Více

Metody připojování periferií BI-MPP Přednáška 1

Metody připojování periferií BI-MPP Přednáška 1 Metody připojování periferií BI-MPP Přednáška 1 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření A/D a D/A převodnp evodníky Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 A/D a D/A převodníky 1 Důvody převodu signálů

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více