VŠB TECHNICKÁ UNIVERZITA OSTRAVA KATEDRA AUTOMATIZAČNÍ TECHNIKY A ŘÍZENÍ

Rozměr: px
Začít zobrazení ze stránky:

Download "VŠB TECHNICKÁ UNIVERZITA OSTRAVA KATEDRA AUTOMATIZAČNÍ TECHNIKY A ŘÍZENÍ"

Transkript

1 VŠB TECHNICKÁ UNIVERZITA OSTRAVA Fakulta stroní KATEDRA AUTOMATIZAČNÍ TECHNIKY A ŘÍZENÍ SYNTÉZA NELINEÁRNÍCH SYSTÉMŮ ŘÍZENÍ S VYUŽITÍM KLOUZAVÝCH MÓDŮ Závěrečná zpráva grantového proektu Fondu rozvoe VŠ MŠMT G1/76/ Odpovědný řešitel: Řešitelé: Ing. Renata Wagnerová Ing. Marek Babiuch Ing. Martin Havlíček Ing. Petr Klaner Bc. Kamil Minár Bc. Marek Minář Ing. Jaromír Škuta Ostrava prosinec

2 Závěrečná zpráva grantového proektu FR MŠMT ČR -1- Obsah ÚVOD... SOUČASNÝ STAV ŘEŠENÉ PROBLEMATIKY... CÍLE ŘEŠENÍ A ZPŮSOB JEJICH SPLNĚNÍ... 3 PREZENTACE VÝSLEDKŮ ŘEŠENÍ GRANTOVÉHO PROJEKTU... 5 NÁVAZNOSTI... 6 ČERPÁNÍ FINANČNÍCH PROSTŘEDKŮ... 7 ZÁVĚR... 9 POUŽITÁ LITERATURA... 9 PŘÍLOHA I NÁVRH ŘÍZENÍ V KLOUZAVÉM REŽIMU PŘÍLOHA II OVLÁDACÍ MODULY PRO LABORATORNÍ MODELY TEPLOVZDUŠNÝ AGREGÁT A LEVITACE V MAGNETICKÉM POLI PŘÍLOHA III VYUŽITÍ MIKROKONTROLÉRŮ PRO ŘÍZENÍ... 8 PŘÍLOHA IV. DISTRIBUOVANÝ SYSTÉM ŘÍZENÍ PŘÍLOHA V. VYTVOŘENÉ VÝUKOVÉ MODULY PRO ANALÝZU A SYNTÉZU REGULAČNÍCH OBVODŮ V SÍTI INTERNET/INTRANET PŘÍLOHA VI. SEZNAM POUŽÍVANÝCH SYMBOLŮ A ZNAČEK PŘÍLOHA VII. FOTODOKUMENTACE LABORATORNÍCH ÚLOH SLOUŽÍCÍCH K OVĚŘENÍ SPRÁVNOSTI NAVRŽENÝCH ALGORITMŮ ŘÍZENÍ... 5 PŘÍLOHA VIII. DIPLOM ZE SOUTĚŽE STOČ PŘÍLOHA IX. FORMULÁŘE FONDU ROZVOJE DANÉHO GRANTOVÉHO PROJEKTU... 55

3 Závěrečná zpráva grantového proektu FR MŠMT ČR -- Úvod Závěrečná zpráva se týká grantového proektu Fondu rozvoe vysokých škol České republiky, který byl řešen na katedře Automatizační techniky a řízení, Fakulty stroní VŠB-TU Ostrava, v roce po dobu ednoho roku. Grantový proekt byl zařazen do kategorie G1 - Tvůrčí činnost studentů a eho cílem bylo zapoit studenty do vědecko-výzkumné činnosti s realizačními výstupy v oblasti automatizace a řízení. Současně e proekt konkrétním příspěvkem k zlepšení experimentální složky výuky magisterského studia oborů Automatické řízení a inženýrská informatika a Výrobní systémy s PRaM a bakalářského oboru Řízení a aplikovaná informatika. Současný stav řešené problematiky Syntéza systémů řízení patří mezi základní a současně nedůležitěší problémy teorie automatického řízení. Rozvo stroírenství e nerozlučně spat s rozvoem elektrotechniky, výpočetní techniky a aplikované teorie automatického řízení. Používané stroe a stroní zařízení bez patřičné úrovně automatizace neodpovídaí současným požadavkům na provoz, obsluhu a údržbu, a proto nemaí také naděi na úspěch ak na domácích, tak i zahraničních trzích. Proto problematikou návrhu eich řízení e třeba se seriózně zabývat, a to iž ve fázi návrhu daného stroe, či zařízení. Katedra automatizační techniky a řízení se problematikou syntézy zabývá iž delší dobu. Byly zde získány velmi dobré zkušenosti a dosaženy poměrně zaímavé a užitečné výsledky, především v oblasti syntézy programového řízení u nelineárních dynamických systémů. Z těchto důvodů bylo účelné zapoit do vědecko-výzkumné činnosti studenty a umožnit im seznámení s nenověšími teoretickými poznatky a zároveň im umožnit prostřednictvím modelových úloh seznámit se neen s návrhem, ale hlavně s realizací algoritmů řízení a také komunikací s řízenými systémy. Studenti sou seznámení ak s konvenčními algoritmy řízení (PID regulátory) tak i s nelineárními algoritmy řízení, které maí hlavní použití pro řízení dynamických systémů s podstatnou nelinearitou v chování. Správnost navržených algoritmů e třeba ověřovat alespoň na laboratorních modelech. K tomu účelu existue na katedře několik laboratorních modelů řízených dynamických systémů (teplovzdušný agregát, mechatronický systém se třemi stupni volnosti, tlakový systém, model levitace

4 Závěrečná zpráva grantového proektu FR MŠMT ČR -3- ocelového válečku, ). Komunikace těchto modelů byla většinou realizována pomocí mikropočítačové ednotky CTRL a řízení bylo realizováno pomocí simulačního programu SIPRO, který byl vytvořen na katedře Automatizační techniky a řízení. Tento simulační program obsahue dva bloky (CTI, CTO) umožňuící komunikaci s reálným systémem pomocí sériové linky. Model mechatronického systému se třemi stupni volnosti e řízen buď pomocí programovatelného logického automatu TSX Micro. Cíle řešení a způsob eich splnění V přihlášce grantového proektu byly vytýčeny následuící cíle: 1. Doplnění vybavení experimentální laboratoře, která se používá pro výuku teorie řízení, doplňuícími komponenty pro vytváření laboratorních modelů požadovaných vlastností.. Realizace modelových úloh pro syntézu řízení metodou agregace stavových proměnných a eím rozšířením o tzv. klouzavý režim. 3. Realizace modelových úloh pro ověření správnosti navržených algoritmů řízení ak číslicovou simulací, tak i na laboratorních modelech. 4. Zapoení studentů do vědecko-výzkumné činnosti s konkrétními realizačními výstupy. Tyto cíle sou splněny následuícím způsobem: Ad 1) Postup řešení proektu vycházel z nákupů doplňuících komponent pro vytvoření modelových úloh a softwarových doplňků, které byly instalovány do počítačů v učebně určené pro výuku teorie řízení. Vybavení učebny SW a HW bylo zaištěno z prostředků VŠB - TU Ostrava. Po zvládnutí eich obsluhy a ovládání odbornými pracovníky katedry a uvedenými studenty, byly připraveny laboratorní úlohy. Ad ) V rámci řešení výše uvedeného grantového proektu byla podrobně zpracována teorie k návrhu řízení pro nelineární systémy pomocí metody agregace stavových proměnných. Další pozornost byla věnována rozšíření dané metody o návrh řízení pracuícím v klouzavém režimu a vlastnostem ednotlivých algoritmů řízení, (viz Příloha I.). Pro

5 Závěrečná zpráva grantového proektu FR MŠMT ČR -4- vybrané modelové úlohy byl odvozen matematický model a byl presentován podrobný postup návrhu algoritmů řízení ak pomocí metody agregace stavových proměnných tak i řízení v klouzavém režimu, viz Příloha II. Ad 3) Pro vybrané laboratorní úlohy (teplovzdušný agregát, levitace ocelového válečku v magnetickém poli) byla simulačně ověřena správnost navržených algoritmů řízení (robustní s vysokým zesílením, klouzavé řízení s funkcí signum, nasycení a hyperbolický tangent) pomocí simulačního programu MATLAB/SIMULINK. Teplovzdušný agregát byl volen, protože umožňue ak dvourozměrové řízení tak i kontrolu robustnosti navržených algoritmů řízení pro zavedenou poruchu. Model levitace v magnetickém poli byl zvolen pro svou značnou nelinearitu a také pro svou rychlost, která omezue možnosti použitelných struktur řízení. Pro ednotlivé laboratorní úlohy byly vytvořeny ovládací moduly v prostředí MATLAB/REAL TIME TOOLBOX, který umožňue ověření návrhu parametrů algoritmů řízení bez nutnosti znalosti programování v programu MATLAB a připoení laboratorních modelů k PC. Vytvořené ovládací moduly umožňuí nastavení konvenčních algoritmů řízení (PID, dvoupolohový regulátor) a nelineárních řízení navržené pomocí metody agregace stavových proměnných (robustní řízení, klouzavá řízení), viz Příloha II. K řízení laboratorních modelů lze také použít mikropočítač řady PIC, na kterém byly realizovány konvenční regulátory (P, I, PI), viz Příloha III. Byla navržen a realizován distribuovaný systém řízení, který e tvořen dvěmi laboratorními modely (teplovzdušný agregát, model robota se třemi stupni volnosti) řízenými pomocí programovatelných logických automatů (PLC TSX Premium, PLC TSX Micro). Tato úloha umožňue studentům seznámit se s ak logickým řízením, tak i principem a vlastnostmi distribuovaných systémů řízení, viz Příloha IV. V rámci řešení daného proektu vznikly dva presentační a výukové moduly v prostředí sítě Intranet/Internet pro oblast analýzy a syntézy regulačních obvodů, které přehledným způsobem zpracovávaí nutné teoretické poznatky z uvedené oblasti. Nevětší použití těchto modulů e pro studenty

6 Závěrečná zpráva grantového proektu FR MŠMT ČR -5- kombinované formy studia, kteří maí možnost lépe pochopit teorii hlavně díky přehledně zpracovaných příkladech (viz Příloha V). Ad 4) Na ednotlivých modelech pracovali studenti v rámci svých ročníkových a diplomových proektů, dosažené výsledky byly využity ako dílčí části eich diplomových prací nebo části disertační práce. Dále vytvořené modelové úlohy budou využívány ve výuce předmětů Teorie automatického řízení I, Identifikace systémů, Prostředky automatického řízení, Optimalizace systémů magisterského a bakalářského studia fakulty stroní. Prezentace výsledků řešení grantového proektu Výsledky řešení sou zpracovány ve formě závěrečné zprávy hodnotící dosažené cíle, poznatky z realizace a z ověřování konkrétních výstupů. Zpráva v plném znění bude publikována ve formátu WWW stránek na fakultním WWW serveru, který e dostupný na URL adrese Realizované postupy a výsledky byly průběžně prezentovány na odborných akcích pořádaných vysokoškolskými pracovišti příbuzných oborů a zařazovány do výukového procesu. Do prezentace výsledků řešení grantového proektu lze zařadit 4 diplomové práce a 3 referáty na konferencích. Soupis publikací spoených tématicky s problematikou řešenou v grantovém proektu e následuící: [1] BABIUCH, M. Aplikace ednočipových mikropočítačů v řídicích systémech. In Proceedings of XXIV. ASR ' Seminar "Instruments and Control" [online]. Ostrava : VŠB-TU Ostrava,, vol. 31, 1 p. [cited -5-4]. ISBN [] HAVLÍČEK, M. Ostrava: FS VŠB-TUO,. 64 s. Diplomová práce. Vedoucí DP. Ing. Jaromír Škuta. [3] KLANER, P. Syntéza řízení reálných obektů s využitím experimentálních modelů. Ostrava: FS VŠB-TUO,. 64 s. Diplomová práce. Vedoucí DP. Ing. Renata Wagnerová. [4] MINÁR, K. Prezentační a výukový modul pro oblast analýzy regulačních obvodů v prostředí Intranetu. Ostrava: FS VŠB-TUO,. 71 s. Bakalářská práce. Vedoucí DP. Ing. Renata Wagnerová.

7 Závěrečná zpráva grantového proektu FR MŠMT ČR -6- [5] MINÁŘ, M. Prezentační a výukový modul v prostředí INTERNET/INTRANET pro oblast syntézy regulačních obvodů. Ostrava: FS VŠB-TUO,. 99 s. Bakalářská práce. Vedoucí DP. Ing. Renata Wagnerová. [6] WAGNEROVÁ, R. & Klaner, P. Využití programového systému MATLAB pro řízení laboratorního modelu. In Proceedings of XXIV. ASR ' Seminar "Instruments and Control" [online]. Ostrava: VŠB-TU Ostrava,, vol. 18, 5 p. [cited -5-4]. ISBN [7] WAGNEROVÁ, R. & KLANER, P. Počítačová podpora syntézy nelineárních systémů. In Workshop '1 Fakulty stroní. Ostrava : FS VŠB-TU Ostrava, 1. (v tisku). Dílčí části řešení byly úspěšně prezentovány na soutěži STOČ v sekci Teorie a aplikace (Klaner, P. Syntéza řízení reálných obektů s využitím experimentálních modelů) a v sekci Aplikace řídicích systémů a PLC (Havlíček, M. Návrh řídicích systémů pro malé technologické procesy). Dále byly výsledky prezentovány v rámci minisemináře pořádaného AGH Krakow (4. 1. ), kde byly předneseny dva referáty a to: Ing. Renata Wagnerová - Nonlinear Systems Control by Sliding Modes Ing. Marek Babiuch - Programming Support of One-Chip Microcomputers in Measurement and Control Systems Návaznosti Řešení proektu bezprostředně navazue na orientaci teoretické výuky předmětů pro řízení a automatizaci na katedře Automatizační techniky a řízení stroní fakulty, dosaženou úroveň výuky počítačových předmětů a zaměření stroní fakulty VŠB - TU Ostrava. Návrh a realizace modelových úloh umožní studentům ak detailní seznámení s nenověšími poznatky z oblasti teorie řízení, tak i ověření rozdílu mezi simulačním ověřením navržených algoritmů řízení a eich ověřením na modelových laboratorních úlohách, resp. reálných systémech. To im umožní lepší přípravu pro praxi.

8 Závěrečná zpráva grantového proektu FR MŠMT ČR -7- Čerpání finančních prostředků Čerpání finančních prostředků bylo v souladu s plánovanými cíly a finanční rozvahou grantového proektu. Na řešení proektu bylo přiděleno 76,- Kč v kategorii NIP. Mzdové prostředky (MP) na řešení proektu zahrnuí pouze symbolické odměny pro řešitele a spoluřešitele (4 tis. Kč), přičemž procentový podíl z celoroční kapacity ednotlivých řešitelů nepřesáhne 1% eich mzdového fondu. Přidělené finanční prostředky byly využity na inovaci a vybavení experimentálního pracoviště v laboratoři H 33, které bude dále využíváno ak ve výuce studentů magisterského i bakalářského studia, tak i pro samostatnou činnost studentů v rámci řešení svých diplomových a disertačních prací. Hlavní část byla použita na inovaci vybavení počítače pro dané experimentální úlohy (HDD, grafická karta ATI 3D, DIMM SDRAM 8x64, CD mechanika, materiál pro tiskárnu) a na vytvoření a inovaci uvedených laboratorních modelů (integrované obvody, elektronické komponenty, součástky). Jako programové vybavení byl pořízen SW Adobe Acrobat. Jako spotřební materiál byly nakoupeny toner a inkoustové cartrige do tiskáren a reprografický materiál. Přehled čerpání prostředků z FR VŠ e uveden v následuící tabulce. Přesun mezi položkami služby a drobný hmotný a nehmotný maetek e způsoben změnou účtování, protože DHM e veden ako oprava a údržba existuících zařízení. Finančně se na řešení grantového proektu podílela také katedra Automatizační techniky a řízení. Spolufinancovala zakoupení SW Real Time Toolbox (15 tis.), PLC Modicon Micro (dar firmy Schneider, 5 tis.), stavebnice PIC mikrokontrolér (1 tis.) Z finančních prostředků katedry byla také spolufinancována aktivní účast na konferencích STOČ, Seminář ASŘ.

9 Závěrečná zpráva grantového proektu FR MŠMT ČR -8- Výkaz hospodaření s prostředky Fondu rozvoe VŠ za rok Řešitel: Ing.Renata Wagnerová č. proektu:76 Údae vyplňte v tisících Kč Prostředky z Fondu rozvoe VŠ Přidělené čerpané Investiční: - - Neinvestiční: 8 8 Celkem: 8 8 Z toho: a) mzdy b) odměny řešitelům c) ostatní osobní náklady d) sociální a zdravotní poištění e) knihy, učební pomůcky f) drobný hmotný a nehmotný maetek g) materiál h) služby i) domácí cestovné ) zahraniční cestovné k) stipendia l) ostatní Prohlašui, že údae zde uvedené sou pravdivé a úplné. Datum: podpis řešitele grantu

10 Závěrečná zpráva grantového proektu FR MŠMT ČR -9- Závěr Řešení grantu přispělo na Katedře automatizační techniky a řízení Fakulty stroní VŠB-TU Ostrava k inovaci a modernizaci výuky teoretických předmětů z oblasti automatizace. Vzniklé modelové laboratorní úlohy výrazně posílily experimentální složku výuky studentů oborů magisterského studia Automatické řízení a inženýrská informatika a Výrobní systémy s PRaM a oboru bakalářského studia Řízení a aplikovaná informatika. Studenti maí možnost pomocí vytvořených úloh ak porovnat teoretické znalosti o chování vybraných dynamických systémů s eich skutečným chováním, tak i ověřit rozdíl mezi simulačním ověřením navržených algoritmů řízení a eich ověřením přímo na konkrétních modelech. V rámci řešení uvedeného grantového proektu vznikly čtyři diplomové práce, které byly rovněž úspěšně obháeny. Dva studenti (Marek Minář, Kamil Minár) úspěšně ukončili studium bakalářského oboru Řízení a aplikovaná informatika fakulty stroní a dva studenti (Martin Havlíček, Petr Klaner) úspěšně ukončili magisterské studium oboru Automatické řízení a inženýrská informatika rovněž fakulty stroní. Dva studenti se úspěšně zúčastnili soutěže STOČ. Dále v rámci řešení grantového proektu vznikla část disertační práce ing. Marka Babiucha. Financování proektu z prostředků FRVŠ významně pomohlo k dalšímu zkvalitnění inženýrského studia absolventů Fakulty stroní, VŠB-TU Ostrava. Použitá literatura BABIUCH, M Tvorba Interpretu azyka Basic s možností krokování. Diplomová práce. Ostrava, FEI VŠB-TU Ostrava BABIUCH, M Tvorba programového interpretu s vlastní příkazovou sadou. In Proceedings of XXIII. ASR Seminary 99 Instruments and Control, Ostrava : KAKI, 1999, vol. 31. pp ISBN BABIUCH, M. a. Programové vybavení ednočipových mikropočítačů v řídicích systémech. Sborník Workshop Fakulty stroní, Ostrava, VŠB-TU Ostrava, pp ISBN

11 Závěrečná zpráva grantového proektu FR MŠMT ČR -1- BABIUCH, M. b. Application of One-Chip Microcomputers in Control Systems. In Proceedings of XXIV. ASR Seminary Instruments and Control, Ostrava : KAKI,. ISBN BABIUCH, M. c. Fieldbus Protocol Requirements. In Proceedings of XXIV. ASR Seminary Instruments and Control, Ostrava : KAKI,. ISBN BALÁTĚ, J Vybrané statě z automatického řízení. Brno, VUT Brno, ISBN 8 14-O793-X, CIA 1999 Can applications. Can in Automation Dostupný z www: <URL:http// FRIEDMAN, P.G Continuous Process Control. North Carolina, Instrument Society of America, 1996, ISBN GARETT, P Computer Interface Engineering for Real-Time Systems. New Jersey, Pr. Hall New Jersey, 1987, ISBN GARG, D. P Developments in Nonlinear Controller Synthesis: An Overview. Jourmal of Dynamic Systems, Measurement, and Cotrol,vol. 1, March 1978, HEROUT, P Učebnice azyka C, České Buděovice, Kopp, 1996, ISBN HRBÁČEK, J Mikrořadiče PIC16CXX a vývoový kit PICSTART. Praha, BENtechnická literatura, 1996, ISBN HRBÁČEK, J Programování mikrokontrolérů PIC 16CXX. Praha, BENtechnická literatura, 1997, ISBN HRBÁČEK, J Komunikace mikrokontroléru s okolím. Praha, BEN-technická literatura, 1999, ISBN KAČMÁŘ, D Obektově orientované programování a azyk C++. Ostrava, VŠB TU Ostrava, 1998, ISBN KAČMÁŘ, D.. Jazyk C. Praha, Computer press,, ISBN KAINKA, B Využití rozhraní PC, Měření, řízení a regulace pomocí standardních portů PC. Praha, HEL, 1997, ISBN

12 Závěrečná zpráva grantového proektu FR MŠMT ČR -11- KHALIL, H. K Nonlinear systems. London: Prentice-Hall, Inc ISBN - L KOCOUREK, P Číslicové měřicí systémy, Praha, Vydavatelství ČVUT 1994, ISBN 8-1-O KVOCH, M Programování ve Visual Basicu, České Buděovice, Kopp, 1996, ISBN LAWRENTZ, W Can System Engineering, New York, Springler-Verlag New York, 1997, ISBN MICROCHIP MPASM USER S GUIDE, MPLAB USER S GUIDE, MPSIM USER S GUIDE. Microchip Technology, MICROCHIP. Pic Micro Devices. PIC16C7X Family Datasheets Dostupný z www: <URL:http// MURILL, P.W Fundamentals of Process Control Theory. North Carolina, Instrument Society of America, 1991, ISBN PEROUTKA, O Mikrokontroléry PIC 16C7X. Praha, BEN-technická literatura, 1998, ISBN POKORNÝ, J Řešené úlohy z Visual Basicu, České Buděovice, Kopp, 1999, ISBN PROFIBUS Profibus standard documentations. 1999, Dostupný z www: <URL:http// SLOTINE, J. J. & LI, W Applied Nonlinear Control. London, Prentice-Hall, SMUTNÝ, L Control of Laboratory Experimental Stands with SCADA/MMI Support. In Proceedings of the 1 th International DAAAM Symposium "Intelligent Manufacturing & Automation: Past - Present - Future." Wiena (Austria) : DAAAM, 1999, XXVI-6 (Suppl), p. ISBN SMUTNÝ, L Počítačová podpora zpracování a prezentace experimentálních dat. In Proceedings of XXIII. ASR Seminary 99 Instruments and Control, Ostrava : KAKI, 1999, vol. 3. pp ISBN CEZ 1. Kód: JB. Anotace: Computer support of processing and experimental data presentation.

13 Závěrečná zpráva grantového proektu FR MŠMT ČR -1- USB. USB Specifications. USB 1.1 and. specification. Dostupný z www: URL:http// UTKIN, V. I Sliding Modes in Control Optimization. Springer - Verlag Berlín, Heidelberg 199 VACEK, V Praktické použití procesoru PIC. Praha, BEN-technická literatura, ISBN VIDYASAGAR, M Nonlinear Systems Analysis. London: Prentice-Hall, VÍTEČEK, A Syntéza optimálního programového řízení metodou agregace stavových proměnných. Ostrava, VŠB - Technická univerzita Ostrava, Habilitační práce. VÍTEČEK, A Využití metody agregace stavových proměnných pro řízení v kluzném režimu.. In Sborník přednášek Workshop 98 Fakulty stroní. Ostrava: FS VŠB-TUO 1998, s ISBN VÍTEČKOVÁ A KOL.. Simple PI and PID Controllers tuning for Monotone Self Regulating Plants. In: Preprints IFAC Workshop on Digital Control. Past, present and future of PID Control. Terrassa, Spain: dep. ESAII Universitat Politècnica de Catalunya, 5-7 April, pp VLACH, J Počítačová rozhraní, přenos dat a řídicí systémy. Praha, BENtechnická literatura, 1997, ISBN WAGNEROVÁ, R. A. The nonlinear systems control synthesis by using sliding modes. In Sborník Workshop Fakulty stroní. Ostrava : VŠB-TU Ostrava, pp ISBN WANG, W. J. & WU, G. H Variable Structure Control Design on Discrete- Time Systems from Another Viewpoint. Control Theory and Advanced Technology, vol. 8, no. 1, pp. 1-16, 199. YAMAMOTO, S. A KIMURA, H Robust stabilisation for parametric uncertainty with application to magnetic levitation. In. Francis, B. A. - Khargonekar, P. P.(editors): Robust Control Theory, New York, Springer - Verlag, ZÍTEK, P & VÍTEČEK, A Návrh řízení podsystémů se zpožděními a nelinearitami.1.vyd. Praha: Vydavatelství ČVUT, s. ISBN X

14 Závěrečná zpráva grantového proektu FR MŠMT ČR -13- Příloha I Návrh řízení v klouzavém režimu tvaru Matematický model řízeného dynamického subsystému budeme uvažovat ve ( x, v, t) + G( x, v, ) u, x( ) x x & = f t = (1) kde e x - vektor stavových proměnných dimenze n, u - vektor řídicích proměnných dimenze m, v - vektor poruchových proměnných dimenze p, f - vektorová funkce dimenze n, eíž prvky f i sou obecně nelineární spoité funkce, G - matice typu (n, m), eíž prvky g i sou obecně nelineární spoité funkce, vyhovuící podmínce rankg ( x,v,t ) =m, n - řád nelineárního dynamického subsystému (počet stavových proměnných), m - počet řídicích proměnných. U úloh programového řízení de o přesné a rychlé sledování většinou předem zadané požadované stavové traektorie {x w (t)}. Proto pro hodnocení kvality programového řízení můžeme s výhodou použít kvadratický účelový funkcionál ve tvaru J = T T w ( e Qe + e Q e& ) dτ, e = x, & () x kde e e - vektor odchylek dimenze n, pro který musí platit e ( ) = e( ) = &, Q, Q - symetrické matice kladně definitní, resp. kladně semidefinitní, typu (n,n), eíž prvky sou konstanty. Daný problém syntézy optimálního programového řízení můžeme řešit snadno metodou agregace stavových proměnných [Zítek, Víteček, 1999]. Definume vektor agregované odchylky s = De, (3) kde D e agregační matice typu (n, m) splňuící podmínku r a n k ( DG) = m, eíž prvky d i sou nezáporná čísla. Nyní v () můžeme uvažovat místo vektorů e, e& vektory s, s& J = T T ( s s + s& T s& ) dτ (4)

15 Závěrečná zpráva grantového proektu FR MŠMT ČR -14- kde T e diagonální matice typu (m,m), eíž prvky T i sou kladné konstanty (časové konstanty). Ze srovnání účelových funkcionálů () a (4) vyplývaí rovnosti Q = D T D, T Q = D T D, (5) ( ) = s( ). s & = Ze vztahů (5) e zřemé, že pro dané matice D a T vždy existuí odpovídaící matice Q a Q, a proto v tomto případě oba funkcionály budou vzáemně ekvivalentní. Jednoduchá struktura kvadratického účelového funkcionálu (4) umožňue eho minimalizaci bez použití metod dynamické optimalizace. Použieme pomocný kvadratický funkcionál T ( T s + s) ( Ts + s) dτ, & & (6) který nabývá ostré globální minimum rovné na vektorové funkci {s*(t)}, která e řešením lineární vektorové diferenciální rovnice T s& + s = při počáteční podmínce ( ) = s = De( ). s (7) (8) Lze ednoduše dokázat, že kvadratický účelový funkcionál (4) nabývá svého ostrého globálního minima na stené funkci {s*(t)} ako pomocný funkcionál (6), t. na řešení diferenciální rovnice (7). Proto vektorová diferenciální rovnice (7) popisue vlastnosti optimálního uzavřeného systému řízení vzhledem k vektoru agregované odchylky s. Dosazením (3) do (7) dostaneme agregovanou vektorovou diferenciální rovnici optimálního uzavřeného systému řízení vyádřenou vzhledem k vektoru odchylek ( u *) + De =, e e( ), TD e& = (9) kde w e& = x& x& = x& w f ( x, v, t) G( x, v, t) u *. Pro optimální zpětnovazební řízení dostaneme vztah

16 Závěrečná zpráva grantového proektu FR MŠMT ČR -15- DG = [ & ]. (1) 1 w ( x) u* T De + D x f ( x, v, t) Bude-li splněna podmínka rank[ DG( x )]=m, ( 11) můžeme snadno určit optimální zpětnovazební řízení podle rovnice [ ] 1 w 1 u* = [ DG( x) ] { D x& f ( x, v, t) + T De}. (1) Použitím zpětnovazebního řízení (1) dostaneme lineární uzavřený systém řízení, tzn. došlo k externí linearizaci. Zpětnovazební řízení (1) vyžadue znalost matematického modelu (1) řízeného dynamického subsystému, proto tento algoritmus řízení e nerobustní. V reálných podmínkách, kdy může doít ke změně vlastností řízeného dynamického subsystému, nerobustní algoritmy nemusí zaručovat dostatečnou kvalitu řízení. Tento problém lze řešit robustním algoritmem řízení. Ten získáme numerickým řešením diferenciální rovnice (7) * w * * 1 ( u ), m ( u ) = De& ( u ) + T De w m = s použitím iterační metody pevného bodu, t. (13) w uk + 1 = uk Km ( uk ), k=,1,,, (14) kde K e vhodně zvolená regulární čtvercová matice řádu m. w Za předpokladu, že matice K bude vhodně zvolena, vektory x, x&, f, G se během iteračního výpočtu budou měnit dostatečně pomalu, řešení (14) bude konvergovat k pevnému bodu u*, t. lim u = u*. k k (15) Pro určení algoritmů řízení bude vhodněší zastoupit diferenční rovnici (14) rovnicí diferenciální, pak dostaneme vztah du( t) = Θm w [ u( t) ], (16) dt kde e Θ - konstantní matice typu (m,m), která často může být diagonální, u - vektor počátečního řízení dimenze m, ehož prvky určíme na základě vztahu 1 [ DG( x v,)] Df ( x,,). u, v = (17) Diferenciální rovnice (19) má stené ustálené ako původní diferenční rovnice, t.

17 Závěrečná zpráva grantového proektu FR MŠMT ČR -16- () t. lim u = u* t (18) Je-li součin matic DG diagonální matice, pak matice Θ e rovněž diagonální a nutná a postačuící podmínka stability e dána vztahem θ >. g (19) Vhodnou volbou matice Θ můžeme zaistit podstatně rychleší výpočet optimálního zpětnovazebního řízení než sou časové změny výrazů x, x& w, f, G, tzn. výpočet musí proběhnout během takového intervalu, ve kterém budou tyto výrazy konstantní. Integrací (16) dostaneme vztah pro suboptimální zpětnovazební řízení t x 1 u = Θ D( e e ) + T D edτ + u () Jelikož ve vztahu () se matematický model řízeného dynamického subsystému nevyskytue, algoritmus řízení e robustní s vysokým zesílením. Pro návrh robustního algoritmu řízení e nutná znalost řádu řízeného dynamického subsystému a regulačních odchylek. Jeho nevýhodou e však extrémně vysoké hodnoty řízení. Tento problém může být odstraněn použitím řízení v klouzavém režimu. To znamená použití nespoitého řízení, kde na základě hodnoty funkce m dochází k přepínaní mezi mezními hodnotami řízení [Utkin, 199], [Víteček, 1998]. Toto řízení může být popsáno vztahem sl sl sl T [ u u, K, u ], sl u = 1, m (1) ehož prvky sou popsány + u pro m >, sl u = () u pro m <, kde u, sou mezní hodnoty řízení. + u V rovnici () e možné uvažovat opačné nerovnosti. Při volbě tvaru funkce m můžeme vycházet z metody agregace stavových proměnných ze vztahu pro robustní algoritmus řízení [Zítek, Víteček,1999], takže algoritmus řízení využívaící klouzavý režim e popsán vztahem u sl t m 1 = U sgn( m), m = D( e e ) + T D edτ, (3)

18 Závěrečná zpráva grantového proektu FR MŠMT ČR -17- m m m m U = diag[ u u, K, u ], (4) 1, T ( ) = [ sgn( m ),sgn( m ), K,sgn( )], m sgn m 1 (5) m m 1 pro m < sgn( m ) =, (6) 1 pro m > kde e U m m - diagonální matice, eíž prvky u sou maximální hodnoty řízení, sgn - znaménková funkce. Znaménka prvků matice U m ve vztahu (3) sou stená ako znaménka prvků matice Θ ve vztahu pro řízení s vysokým zesílením (). Pro existenci stabilního klouzavého řízení musí být splněny podmínky, které mohou být zapsány v různých tvarech [Furuta, 199], [Utkin, 199], 1 d( m dt ) η m, (7) m w m η m, (8) m w ( m ) η sgn, (9) kde η sou kladná čísla. Vlastní klouzavý režim e vyádřena vztahem m & =. (3) Řízení v klouzavém režimu (3) e nespoité, robustní a ednoduché, ale eho nevýhodou e velká aktivita řízení, tzn. rychlé přepínaní mezi mezními hodnotami. Tuto nevýhodu lze odstranit, když ve vztahu (3) místo funkce signum použieme funkci nasycení u sa m m = U sat( Θ m), (31) m m m m Θ = diag[ Θ1, Θ, K, Θm ], (3) T ( ) = [ sat( m ),sat( m ), K,sat( )], sat m 1 m m (33) sat ( m ) Θ m pro Θ m 1 =, sgn( Θ m ) pro Θ m > 1 (34) kde e Θ m - positivní diagonální matice, sat - funkce nasycení.

19 Závěrečná zpráva grantového proektu FR MŠMT ČR -18- Obr. 1. Vztah mezi řízením s vysokým zesílením a řízením pracuícím v klouzavém režimu Na obr. 1 e vidět závislost -té složky řízení s vysokým zesílením a klouzavým řízením na hodnotě funkce m. Pro řízení s vysokým zesílením x u e závislost lineární a může dosáhnout neomezeně velkých hodnot, klouzavé řízení se znaménkovou funkcí sl u má nelineární dvoupolohovou závislost, klouzavé řízení s funkcí nasycení sa u má lineární závislost omezenou horní a dolní mezní hodnotou. Převrácená m hodnota θ určue sklon statické charakteristiky pro klouzavé řízení s funkcí nasycení, čím větší hodnota tím se statická charakteristika bude blížit statické charakteristiky klouzavého řízení s funkcí nasycení. Čím bude hodnota se statická charakteristika bude blížit statické charakteristice pro robustní řízení s vysokým zesílením. m θ menší, tím Použitím funkce nasycení ve vztahu (3) dostaneme lineární závislost mezi hodnotou přepínací funkce m a hodnotou řízení m u v intervalu 1 θ 1. Tuto sa m závislost můžeme změnit na nelineární, když ve vztahu (3) použieme funkci hyperbolický tangent. Řízení bude popsáno vztahy: u tg m m = U tgh( Θ m), (35) m m m m Θ = diag[ Θ1, Θ, K, Θm ], (36) T ( ) = [ tgh( m ),tgh( m ), K,tgh( )], tgh m 1 m m (37) kde tgh funkce hyperbolický tangent.

20 Závěrečná zpráva grantového proektu FR MŠMT ČR -19- Příloha II Ovládací moduly pro laboratorní modely teplovzdušný agregát a levitace v magnetickém poli TEPLOVZDUŠNÝ AGREGÁT Model teplovzdušného agregátu e tvořen žárovkou napáenou z řiditelného zdroe napětí a z ventilátoru, který e rovněž napáen pomocí řiditelného zdroe napětí. Žárovka vytváří tepelný a světelný zdro. Je umístěná v krytém tunelu, kterým e profukován vzduch pomocí ventilátoru, viz obr.. V tunelu e umístěno 6 snímačů: Tři snímače teploty: termistor T3 - měří teplotu baňky žárovky, termistor T - měří teplotu vzduchu v bezprostřední blízkosti baňky žárovky, termistor T1 - měří teplotu vzduchu v zadní části tunelu. Fotodetektor: fotorezistor FR1 měří as žárovky. Termoanemometr: e tvořen dvěma termistory, první e umístěn v tunelu a měří rychlost proudění vzduchu - TA, druhý referenční termistor RT není proudem vzduchu ovlivňován. Obemový vrtulkový průtokoměr: VP - měřící vrtulka s připoeným snímačem otáček. Obr.. Schéma laboratorního modelu teplovzdušného agregátu

21 Závěrečná zpráva grantového proektu FR MŠMT ČR -- Návrh algoritmů řízení Pro návrh algoritmů řízení pomocí metody agregace stavových proměnných není nutné znát přesně matematický model, en musíme znát řád řízeného dynamického subsystému a stavové proměnné. Teplovzdušný agregát e dynamický subsystém druhého řádu, což sme zistili identifikací přechodové charakteristiky. Agregační matice D, matice časových konstant T a matice Θ sou popsány vztahy 1 m m m m D = 1, T = T, Θ = θ, U = U, Θ =Θ, (38) T1 kde T i sou časové konstanty volené s ohledem na požadovaný průběh uzavřeného systému řízení (mezní aperiodický průběh). Jednotlivé algoritmy řízení sou popsány vztahy: robustní řízení s vysokým zesílením: u x t = θ τ T1 T T1T ( e e ) + ( e e ) + e d, kde e e 1 rozdíl mezi požadovanou a skutečnou teplotou agregátu, e derivace e 1. klouzavé řízení s funkcí signum: (39) u u sl sl t m = U sgn + ( e e ) + ( e e ) + e dτ, T T 1 1 T T 1 (4) 1 1 klouzavé řízení s funkcí nasycení: t m = U sat + ( e e ) + ( e e ) + e dτ, T T 1 1 T T 1 (41) 1 1 klouzavé řízení s funkcí hyperbolický tangens: u sl t m = U t gh + ( e e ) + ( e e ) + e dτ. T T 1 1 T T 1 (4) 1 1 Ovládací modul Model e připoen k PC pomocí multifunkční karty AD51, kterou steně ako program MATLAB dodává firma HUMUSOFT. Tato karta má ovladače přímo v REAL TIME TOOLBOXu a umožňue pracovat se vzorkovací frekvencí do 1 khz a rozsahy vstupních/výstupních signálů ± 1V, ± 5V, 1V, 5V.V laboratorním

22 Závěrečná zpráva grantového proektu FR MŠMT ČR -1- modelu sou vstupní/výstupní signály v rozsahu 1V a karta pracue s vzorkovací periodou,1 s. Ovládací modul byl vytvořen v programovém systému MATLAB 5.3/REAL TIME TOOLBOX.61. Po správné spuštění programu musíme zadat cestu pracovnímu adresáři. Program spustíme z příkazové řádky MATLABu napíšeme-li eho název model. Otevře se nám okno hlavního programu pro řízení teploty teplovzdušného agregátu nebo průtoku vzduchu v tunelu (viz. obr. 3). Okno obsahue tyto položky: Grafy nastavení okna grafu. Žárovka nastavení parametrů pro řízení teploty agregátu. Ventilátor nastavení parametrů pro řízení ventilátoru. Čas zobrazue čas řízení. Start spustí samotné řízení. Konec opuštění programu. Obr. 3. Hlavní okno modulu pro řízení s laboratorním modelem Po aktivaci volby Grafy se nám otevře okno viz. obr. 4. V tomto okně si můžeme vybrat, kterou hodnotu budeme chtít zobrazovat. Dále zde můžeme nastavit rozsah časové osy a po kolika sekundách budeme chtít obnovovat graf. Volba Žárovka otevře okno s následuícím výběrem (obr. 5), stené okno se otevře i po zadání volby Ventilátor:

23 Závěrečná zpráva grantového proektu FR MŠMT ČR -- Typ signálu: Konstanta - generue po celou dobu vzorkování konstantní signál o hodnotě k. Sinus - generue harmonický signál. Obdélník - generue obdélníkový signál, viz obr. 6. Troúhelník generue troúhelníkový signál. Obr. 4. Nabídka Grafy Obr. 5. Nabídka Žárovka Typ regulace: Dvoupolohová regulace - zde e třeba nastavit parametry regulátoru - hodnotu zapnuto a vypnuto a pásmo necitlivosti (hysterezi). Vybrat žádanou veličinu a hodnotu žádané veličiny. PID regulace - zde e třeba nastavit parametry regulátoru: zesílení k p, integrační T I a derivační T D časové konstanty, vybrat žádanou veličinu a hodnotu žádané veličiny, viz obr. 7. Vysoké zesílení zde e třeba nastavit parametry pro algoritmus řízení s vysokým zesílením, který e navržen pomocí metody agregace stavových proměnných.

24 Závěrečná zpráva grantového proektu FR MŠMT ČR -3- Funkce signum zde e třeba nastavit parametry pro algoritmus řízení pracuícím v klouzavém režimu Funkce nasycení - zde e třeba nastavit parametry pro algoritmus řízení pracuícím v klouzavém režimu. Obr. 6. Příklad zadávání parametrů signálu Obr. 7. Nastavení parametrů PID regulátoru Vytvořený modul umožňue experimentování s laboratorním modelem teplovzdušného agregátu, které pomůže studentů snadné ověření metod syntézy konvenčních algoritmů řízení, nastavení dvoupolohového regulátoru, algoritmů navržených pomocí metody agregace stavových proměnných nebo řízení pracuící v klouzavém režimu. Průběh řízené veličiny pro oba algoritmy sou vidět na obr. 8. V čase 6 s byla zavedena porucha, ale e vidět, že na průběhu obou veličin se nic nezměnilo, takže oba algoritmy řízení (4) a (41) sou robustní k působící poruše.

25 Závěrečná zpráva grantového proektu FR MŠMT ČR -4- a.) b.) Obr. 8. Průběh řízení teploty agregátu a.) pro algoritmus řízení pracuící s funkcí signum b.) pro algoritmus řízení pracuící s funkcí nasycení LEVITACE V MAGNETICKÉM POLI Návrh algoritmů řízení Druhým laboratorním modelem e levitace ocelového válečku v magnetickém poli, eíž schéma e na obr. 9. Chování systému můžeme popsat následuícími rovnicemi [Yamamoto a Kimura,1995] ( ), 1 L mx & = mg + i xx (43) d u = Ri + [ L( x) i], (44) dt ( ) = Q L x +, X + x L (45) kde e m - hmotnost ocelového válečku [kg], x - vzdálenost mezi cívkou elektromagnetu a válečkem [m], i - elektrický proud [A], u - elektrické napětí [V], L(x) - induktance cívky[h], R - elektrický odbor [Ω], Q, L, X - parametry dané fyzikálními charakteristikami vinutí, ádra a ocelového válečku [H.m, H, m].

26 Závěrečná zpráva grantového proektu FR MŠMT ČR -5- U Legenda: 1 - stoan, optický snímač polohy, 3 ocelový váleček, 4 trubice zaišťuící vertikální pohyb, 5 pólový nádstavec ádra cívky, 6 - elektromagnet. 1 Obr. 9. Levitace ocelového válečku v magnetickém poli Úpravou vztahů (43) - (45) a zavedením stavových proměnných x 1 = x, x = x&, x 3 = & x, obdržíme matematický model úlohy levitace ve stavovém: x& 1 x& x& 3 = x f = x = 3 3,, ( x ) + g ( x) u, 3 kde f 3 (x), g 3 (x) sou obecné nelineární funkce stavových proměnných popsané: f g ( ) (46) R x Qx x = + ( g 3 ), (47) Q ( X x1 ) L Q + + ( X + x1 ) L X x + 1 X x x 3 Q g x3 x =. (48) m Q + L ( X + x1 ) X x + 1 ( ) Matematický model úlohy levitace v magnetickém poli odpovídá standardnímu tvaru pro metodu agregace stavových proměnných [Víteček, 1991]. Agregační matice D, matice časových konstant T a matice Θ m maí tvar: 1 ξ = d = 1 T T D, T=T 3, Θ m =θ m (49) kde T i, ξ, θ m sou konstanty voleny s ohledem na požadovaný průběh uzavřeného regulačního obvodu (mezní aperiodický průběh). Navržené algoritmy řízení sou popsány vztahy: robustní řízení s vysokým zesílením

27 Závěrečná zpráva grantového proektu FR MŠMT ČR -6- ( ) ( ), = e e e T T e e T T T e d T T u t m x ξ ξ τ θ (5) kde e e 1 rozdíl žádané a skutečné polohy válečku, e derivace e 1, e 3 druhá derivace e 1, řízení v klouzavém režimu s funkcí signum ( ) ( ) ( ), e e e T T e e T T T d e T T m m, sgn u u t m sl = = ξ ξ τ (51) klouzavé řízení s funkcí nasycení: ( ) ( ) ( ), e e e T T e e T T T d e T T m m, u u t m m sa = = sat ξ ξ τ Θ (5) klouzavé řízení s funkcí hyperbolický tangens: ( ) ( ) ( ). e e e T T e e T T T d e T T m m, u u t m m sa = = tgh ξ ξ τ Θ (53) Ovládací modul Celý laboratorní regulační obvod e vidět na obr. 1 a e sestaven z následuících součástí: regulovaná soustava (stenosměrný elektromagnet upevněný na stoanu, vedení zaišťuící svislý pohyb levituícího předmětu), snímačová část (optický dvouvláknový snímač polohy, elektrické obvody pro transformaci změny intenzity odraženého světelného paprsku na výstupní napětí), výkonová část (poskytue zesílení napětí z měřicí karty na až 4 V potřebných k řízení elektromagnetu), zdroe napětí, měřicí karta AD51, programový systém MATLAB 5.3, toolbox pro práci v reálném čase REAL TIME TOOLBOX. Vstupem do soustavy e napětí, které řídí velikost proudu do cívky. Výstupem ze soustavy e napětí z optického dvouvláknového snímače polohy v reflexním zapoení, které odpovídá poloze válečku.

28 Závěrečná zpráva grantového proektu FR MŠMT ČR -7-1 u P y E 5 3 Real Time Toolbox informace o poloze kuličky regulovaná soustava, - optický dvouvláknový snímač polohy s elektronickými obvody, 3 - zesílení elektrické veličiny odpovídaící poloze kuličky na normovanou hodnotu měřitelnou kartou AD 51, 4 - karta AD 51, 5 - zesílení akční veličiny na výkonovou. u E y N E Matlab PC Obr. 1 Schéma laboratorní úlohy levitace v magnetickém poli Pro experimentální ověření vlastností navržených algoritmů řízení byl vytvořen ovládací modul, který se bude dále využívat ve výuce. Jeho výhodou e, že uživatel při ověřování vlastností ednotlivých algoritmů řízení nemusí umět programovat v prostředí MATLAB, en bude zadávat parametry ednotlivých algoritmů. Samozřemě vytvořený modul rovněž umožňue vykreslení průběhu ak polohy levituícího válečku, tak i řízení, a také uložení hodnot do souboru. Struktura ovládacího modul e stená ako u modulu pro teplovzdušný agregát, liší se en úvodní obrazovkou a neumožňue návrh dvoupolohového regulátoru, který pro danou úlohu e nepoužitelný. Výsledky experimentálního ověření uvedených algoritmů řízení přímo,na laboratorním modelu sou vidět na obr. 11. Požadovaná poloha levituícího válečku byla 5 mm nad základnou. position [V] po -3 siti on [V] a) time [s] b) time [s] Obr. 11. Průběh polohy levituícího válečku v magnetickém poli a) robustní algoritmus řízení s vysokým zesílením b) klouzavé řízení s funkcí nasycení

29 Závěrečná zpráva grantového proektu FR MŠMT ČR -8- Příloha III Využití mikrokontrolérů pro řízení Analýza problematiky mikrokontrolérů Terminologie: mikrokontrolér vs. mikročip Na počátku dané problematiky e vhodné uasnit danou terminologii. Mikrokontrolér neboli mikročip e ednočipový mikropočítač. Jak iž vyplývá z názvu, vše e implementováno na ednom čipu. Budeme se ale držet termínu mikrokontrolér, honě používaný v elektronické praxi a u předních firem v České republice, neboť e tímto zdůrazněno že se needná o pouhý počítač, ale o zařízení které řídí něaký průmyslový proces. Charakteristika mikrokontrolérů Microchip e prvním světovým výrobcem, který své osmibitové mikrokontroléry založil na architektuře RISC. Dosáhl tím pozoruhodného výsledku: ednak tak vznikly velice bohaté, nevýkonněší osmibitové mikrokontroléry současnosti (řada PIC18Cxxx), a na druhé straně velice rozšířené řady (PIC16C5x, PIC16Cxx a první osmipinové mikrokontroléry na světě PIC1C5xx) ednodušších, ale elegantních, výkonných a velice levných mikrokontrolérů, které ve své kategorii (osm bitů) představuí nepříznivěší poměr ceny a výkonu. Existue široká škála ednotlivých typových představitelů, vzáemně se lišících implementovanými technickými prostředky, velikostí EPROM (EEPROM, FLASH) a RAM, počtem I/O pinů, frekvenčním rozsahem, typem oscilátoru, pouzdry, teplotním rozsahem apod. Mnohé vlastnosti, hlavně koncepční, sou pro všechny typy obou uvedených řad stené nebo podobné. Obr.1. Mikrokontrolér firmy Microchip.

30 Závěrečná zpráva grantového proektu FR MŠMT ČR -9- Tyto mikrokontroléry sou opravdu ednočipové, nevyžaduí žádné externí součástky včetně pamětí. Microchip důsledně dodržue zásady RISC - vnitřní obvodovou "ednoduchost", vysokou ortogonalitu a symetrii. Procesor e harvardský, tedy s oddělenými, nesteně širokými sběrnicemi a pamětmi pro data a pro program. Program e velice úsporný: paměť programu má optimalizovanou šířku slova (1 až 16 bitů), takže adresa nebo přímý operand (konstanta) e eho součástí. Navíc to představue i výrazné zrychlení. ALU má 33 až 58 instrukcí, všechny o délce ednoho slova. Všechny instrukce sou ednocyklové, kromě skokových (ednocyklové nebo dvoucyklové, podle výsledku operace). Využívá se dvoustupňový pipelining (fetch, execute), uživatelsky naprosto transparentní. Téměř všechny vyhrazené registry, příznaky a všechny porty sou namapovány do paměti dat a sou přístupné stenými metodami ako uživatelská paměť dat. Adresování e přímé, nepřímé nebo relativní. Instrukce mohou "pracovat" přímo v paměti dat, k dispozici sou i instrukce bitově orientované. I/O sou obousměrné (třístavové), ovladatelné po ednotlivých bitech. Zásobník má hloubku až 16 úrovní [Hrbáček 1996]. Základní vlastnosti Rychlost e až 1 MIPS (33 MHz, 1 ns/instrukce). Plně statické provedení umožňue ovšem i libovolně nízký, případně i nulový taktovací kmitočet. Technologie e CMOS, napáení většinou.5 až 6.5 V. Vyráběí se v kategoriích Commercial, Industrial, Automotive. I/O pinů e 6, 1, 13,, 33 nebo 5. Výstupy maí velkou proudovou zatížitelnost: 5/ ma/pin, 4 ma/port. Umožňuí tedy i přímé buzení LED. Proudová spotřeba e velice nízká. Typické hodnoty sou: -5 ma při 5 V a 4 MHz (podle typu) 15-1 ma při 3 V a 3 khz 1-3 ma v režimu SLEEP při 3 V a teplotě až 7 stupňů C Power-on reset - e automaticky generován vnitřními obvody. RTCC - čítač/časovač (8b) s programovatelným předděličem (8b). Programovatelný watchdog s interním ednoúčelovém RC oscilátorem. Pokud není programově (ale trvale) potlačen, eho timeout vždy vyvolá reset.

31 Závěrečná zpráva grantového proektu FR MŠMT ČR -3- Režim SLEEP se sníženou klidovou spotřebou. Iniciue se programově, opouští se vněším signálem reset, vnitřním watchdog timeout, případně i inak. Start-up timer - umožňue prodloužení resetu po náběhu napáení nebo po "probuzení" z režimu SLEEP (pro spolehlivý rozběh krystalového oscilátoru). STACK úrovňový zásobník Režimy činnosti mohou být: HS (high speed) - krystalový oscilátor, taktovací frekvence až MHz XT - krystalový oscilátor, taktovací frekvence do 4 MHz LP, LF (low power, low frequency) - s nízkým odběrem, krystalový oscilátor, taktovací frekvence do 4 khz (typicky Hz) RC - RC oscilátor místo krystalu (pro minimalizaci ceny zařízení) Vše e podřízeno kritériím: rychlost, výkonnost spolehlivost, a to i bez externích součástek nízká cena Mikrokontroléry PIC sou vhodné prakticky pro všechny embedded aplikace, pro práci v reálném čase, pro flexibilní ovládání, vyhodnocování, konstrukci periférií, inteligentních dekodérů, driverů. Vysoká výkonnost umožňue eich aplikaci i v oblastech, kde eště nedávno užití procesoru nepřipadalo v úvahu, ako kvalitativně vyšší náhrada paralelních zapoení, např. standardních obvodů TTL nebo malých hradlových polí. [Hrbáček 1999] Kromě samotných technických parametrů sou podstatné i další aspekty: nízké ceny, dostupnost na trhu, kvalitní, levné a snadno zvládnutelné návrhové a vývoové prostředky, rozsáhlé knihovny funkcí a typických aplikací, e poskytována technická a aplikační podpora.

32 Závěrečná zpráva grantového proektu FR MŠMT ČR -31- Rozdělení mikrokontrolérů Firma Microchip uvádí na trh širokou škálu mikrokontrolérů. (Microchip ) PIC1C5XX Family 8-Pin, 8-Bit CMOS Microcontrollers PIC1CE5XX Family 8-Pin, 8-Bit CMOS Microcontrollers with EEPROM Data Memory PIC1C67X Family 8-Pin, 8-Bit CMOS Microcontrollers with A/D Converter PIC1CE67X Family 8-Pin, 8-Bit CMOS Microcontrollers with A/D Converter and EEPROM Data Memory PIC14 Family 8-Pin Programmable Mixed Signal Controller PIC16C5X Family & PIC16HV54 EPROM/ROM-Based 8-Bit CMOS Microcontroller Series PIC16C55X Family EPROM-Based 8-Bit CMOS Microcontrollers PIC16C6X Family 8-Bit CMOS Microcontrollers PIC16C64X & PIC16C66X Families 8-Bit EPROM Microcontrollers with Analog Comparators PIC16X6X Family 18-Pin EPROM-Based 8-Bit CMOS Microcontrollers PIC16CE6X Family 8-Bit CMOS Microcontrollers with Analog Comparators and EEPROM Data Memory PIC16C7X Family 8-Bit CMOS Microcontrollers with A/D Converter PIC16C71X Family 18 Pin, 8-Bit CMOS Microcontrollers with A/D Converter PIC16C745/765 Family 8-Bit CMOS Microcontrollers with A/D Converter for USB, PS/ and Serial Device PIC16C77X Family 18, 8 and 4-Pin, 8-Bit CMOS Microcontrollers with 1-Bit A/D Converter PIC16F87X Family 8/4-Pin, 8-Bit CMOS FLASH Microcontrollers with 1-bit A/D Converter PIC16X8X Family 18-Pin, 8-Bit CMOS Flash/EEPROM Microcontrollers PIC16C9XX Family 8-Bit CMOS Microcontrollers with LCD Driver PIC17C4X Family High-Performance 8-Bit CMOS EPROM/ROM Microcontrollers PIC17C7XX Family High-Performance 8-Bit CMOS EPROM Microcontrollers PIC18CXXX Family Enhanced Architecture 8-Bit Microcontrollers Programování mikrokontrolérů Obr. 13. Programové prostředí MPLAB Programování mikrokontrolérů e prováděno v azyce assembler za pomocí instrukcí instrukčního souboru. Držíme se platných zásad a postupu programování. Definueme tedy symboly a proměnné, které budeme používat, místo v paměti kde

33 Závěrečná zpráva grantového proektu FR MŠMT ČR -3- budeme program ukládat, konec programu, atd. Zdroový program se přeloží do hexadecimální podoby v programovém prostředí MPLAB a dále se programátorem PICSTART připoitelným k sériovému portu převede do paměti mikrokontroléru. [Babiuch a] Napsaný program pro libovolnou aplikaci lze odsimulovat. Simulátor MPSIM napodobue práci skutečného mikrokontroléru. Má možnost reagovat na změna na vstupech i ukazovat ak se nastavuí výstupy. Dokáže v libovolné části programu ukazovat hodnoty v pracovních registrech. Pomocí simulátoru sme schopni provádět opravy v laděném programu. Při práci s mikrokontroléry nestačí pouze pro daný problém napsat program a ten pak odsimulovat. Je třeba danou aplikaci ověřit v praxi. Simulátor totiž nepracue v reálném čase ani s reálným okolím. Aby byla aplikace rychle realizovatelná, provádí se na vývoových deskách. Tyto vývoové desky zhotovueme buďto k našim konkrétním aplikacím, ale máme možnost použít i desky firemní.[hrbáček 1997] Etapa koncepce návrhu. Volba vhodného mikrokontroléru Jak iž bylo uvedeno výše, hlavní součástí celého systému e mikrokontrolér firmy Microchip. Představili sme si i celé rodiny mikrokontrolérů PIC. Pro vytčený cíl e nutné zvolit nevhodněší mikrokontrolér. Měl by bezpochyby splňovat následuící požadavky: Dostatečná velikost paměti, Vyhovuící obvodová struktura, Schopnost komunikace s okolím po průmyslové sběrnici, AD, DA převodníky, popřípadě PWM výstupy, Dostatečně vyvinutý systém přerušení. Při rozboru všech těchto dílčích požadavků vychází volba na typovou řadu mikrokontrolérů PIC 16C7X. [Peroutka 1998]. Tato řada splňue všechny výše uvedené požadavky a výběr konkrétního ediného představitele iž závisí pouze na typu sběrnice s níž bude spolupracovat. Mikrokontroléry této řady splňuí nároky na

34 Závěrečná zpráva grantového proektu FR MŠMT ČR -33- kompatibilitu neen mezi sebou ale i s ostatními řadami, což představue nesporně další výhodu při obměnách ať už s důvodu neustálého vývoe hardwaru, tak i přání samotného uživatele. Můžeme tedy v kterékoliv fázi vývoe přeít na iný mikrokontrolér a nemusíme se obávat, že bychom museli pracně vše vyvíet od začátku. Etapa hardwarové realizace systému Dílčí úkoly Navrhnout a osadit vývoovou desku vhodnými prostředky, Zaistit napáení mikrokontroléru, generování taktovacího kmitočtu, resetu apod., Realizovat propoení vstupů a výstupů na vhodné rozhraní, Zaistit komunikaci s PC a soustavou ež bude mikrokontrolér řídit, Poukázat na další vhodná zapoení, obměny a možnosti práce mikrokontroléru. Struktura zvoleného mikrokontroléru Architektura procesoru PIC16C7X [Peroutka 1998] e znázorněna na následuícím obrázku. Data a program sou u Harvardské koncepce v oddělených částech paměti a tedy mohou mít různou velikost. data sou šířky 8 bitů a kód programu 14 bitů. Tato šířka instrukčního slova umožňue mít všechny instrukce ednoslovné a proveditelné během ednoho instrukčního cyklu. Adresní prostor e u tohoto typu mikrokontroléru 4K x 14 bitů paměti. Mikročip může své registry adresovat přímo nebo nepřímo. V datové paměti sou umístěny všechny speciální registry včetně programového čítače. Instrukční soubor e symetrický, což znamená, že každou operaci lze provést s každým registrem. Tato vlastnost dělá programování s mikrokontrolérem účinným.

35 Závěrečná zpráva grantového proektu FR MŠMT ČR -34- EPROM Program Counter Data Bus <8> Program Memory Program Bus <14> Level Stack RAM File Registers Port A Instruction Reg. Addr. Mux Port B FSR Instruction decode & Control Timing Generation Power Up Timer Oscilator Power on Reset Watchdog STATUS Reg. ALU Mux. MCLR Vdd,Vss W Reg. Obr. 14. Architektura mikrokontroléru Uspořádání paměti, vstupy a výstupy, komunikace s porty Uspořádání programové paměti Jako příklad uveďme programovou paměť mikrokontroléru PIC16C74. Tento procesor pracue s 13 bitovým programovým čítačem který e schopen adresovat 8K x 14 bitů paměťového prostoru programu a dat. Reset vektor e na adrese h a vektor přerušení na adrese 4h, viz následuící obrázek. Na adrese RESET vektoru musí být první instrukce programu, která vykoná skok na začátek vlastního programu. Uspořádání dat v paměti Paměť dat e rozdělena do dvou prostorů (bank), které obsahuí základní funkční registry a registry volné pro uživatele. Každá z bank e na 18 bytech (7FH) statické RAM. Prvních 3 paměťových míst (registrů) e vyhrazeno pro speciální systémové registry. Některé z těchto systémových registrů sou v obou bankách.

36 Závěrečná zpráva grantového proektu FR MŠMT ČR -35- Registry s adresou h-7fh pro banku a Ah-FFh pro banku1 sou volné pro uživatele. PC CALL RETURN Stack Level 1... Stack Level 8 User Mem. Space Test Mem. Space Reset Vector Int. Vector Page Mem. Page 1 Mem. Config. h 4h 5h 7FFh FFFh 1h 1FFFh 7h FFFFh Obr. 15. Uspořádání programové paměti Speciální registry Systémové registry sou registry, které používá CPU pro nastavení zvolené konfigurace a činnosti. Mohou být rozděleny do dvou skupin. První skupinu tvoří systémové registry souviseící se základní funkcí CPU, druhou skupinu tvoří registry vztahuící se k vněším komunikačním funkcím. STATUS registr obsahue aritmetické příznaky pro ALU, příznak RESET a bit pro předvolbu stránky, OPTION registr obsahue řídicí bity pro nastavení čítače/časovače a stavu sleep, INTCON registr umožňue využít až 11 možných zdroů přerušení, PIE a PIR registry obsahuí bity pro komunikaci s okolím, PCON registr rozlišue Power on Reset od vněšího resetu,

37 Závěrečná zpráva grantového proektu FR MŠMT ČR -36- PCL, PCH nižší a vyšší byte programového čítače. Aritmetickologická ednotka a SWR Aritmetickologická ednotka (ALU) provádí aritmetické a logické operace mezi daty umístěnými v pracovním registru a daty umístěnými v libovolném registru pole registrů. Podle výsledků některých operací nastavue příznakové bity v registru SWR (Status Word Registr). C (Carry/Borrow) - přenos/vypůčka v operacích sčítání/odčítání a rotace, DC (Digit Carry/Borrow) - totéž pro dolní 4 bity, mimo operací rotací, Z (Zero) - nastavení bitu podle e výsledek aritmetické nebo logické operace, TO, PD - nastavení bitů událostí ( napáení, SLEEP, WDT, MCLR), RP - výběr stránky paměti dat, IRP,RP1 - bity univerzálního registru. Vstupy a výstupy, porty Vstupy a výstupy (I/O) mikrokontroléru sou řízeny řídicími registry portů. Mikrokontroléry maí podle typu až 5 portů různé šířky ( 4 až 8 bitů) a k nim příslušný počet řídicích registrů. Porty mikrokontroléru sou obousměrné a řídicí registr portů e buďto nastavue ako vstupní nebo ako výstupní. Etapa softwarové realizace systému Dílčí úkoly Dostatečné množství podprogramů pro matematické výpočty, Podprogramy pro ovládání příslušného hardwaru, Volba nevhodněších algoritmů [Murill 1991], Speciální algoritmy pro oblast řízení [Friedman 1996,Babiuch b] Podprogramy pro zpracování získaných dat, Spolehlivá komunikace hardwarových prostředků, Experimentální ověření funkčnosti výsledného systému.

38 Závěrečná zpráva grantového proektu FR MŠMT ČR -37- Implementace algoritmů regulace Riscová architektura mikročipů obsahue redukovanou instrukční sadu s instrukcemi omezuícími se pouze na ednoduché operace přesunů dat v registrech a základní aritmetické a logické operace nad celočíselným datovým typem. Pro použití mikročipu v řídicích systémech e nutno vytvořit celou řadu procedur které nesou součástí instrukčního souboru. [Babiuch a] Ukázka postupu při tvorbě algoritmů - algoritmus regulace: Nastavení všech vstupů a výstupů mikrokontroléru pro danou aplikaci. Zaištění obsluhy přerušení. Zaištění AD převodu. Konfigurace vstupů (napěťové reference) a vývodů pro analogový vstup. Výběr hodinového signálu A/D převodníku. Zapnutí funkčního modulu A/D převodníku. Zaištění požadovaného vzorkovacího času. Spuštění převodu. Zaištění čekání na dokončení převodu a čtení výsledku. Provedení regulačních výpočtů. Vyslání akčního zásahu. Na následuícím obrázku e uveden postup při programování regulačních algoritmů.

39 Závěrečná zpráva grantového proektu FR MŠMT ČR -38- start Nastavení vstupů a výstupů mikročipu alokace paměti konfigurace základních parametrů regulátoru výpočet časových konstant pro zvolený typ regulačního algoritmu AD převod (edno vzorkování) -zaistit obsluhu přerušení Regulační algoritmus Výsledek vyslat ako akční zásah v režimu PWM -zaistit obsluhu přerušení pokračovat? ne ano konec Obr.16. Vývoový diagram řídicího algoritmu

40 Závěrečná zpráva grantového proektu FR MŠMT ČR -39- Příloha IV. Distribuovaný systém řízení Distribuovaný systém Pro realizaci distribuovaného systému řízení byly vybrány modely robota a teplovzdušného modelu. Na těchto modelech e demonstrováno použití PLC pro řízení úloh logického typu (robot) i náročněších regulačních a řídících úloh (teplovzdušný model). Operátorsko vizualizační prostředí e vytvořeno v systému Control Web, kde se využil i integrovaný HTTP server pro zpřístupnění technologického systému přes Internet. Celé pracoviště e řešeno distribuovaně, kde každá technologie e řízena samostatným automatem pracuícím zcela nezávisle na další technologii, viz. obr. 17. K nim e umožněn přístup z ediného řídicího stanoviště vytvořením sběrnice typu hvězda. Toto řešení bylo vybráno po zhodnocení technických a programových prostředků, protože e snadno realizovatelné a dostatečně spolehlivé. Řídicí stanoviště e propoeno s každým PLC pomocí sériové linky, komunikuící v ASCII protokolu. Technologie 1 CW Technologie PLC 1 RS485/3 RS485/3 PLC WWW TCP/IP Obr. 17. Schéma distribuovaného pracoviště Model robota Tato úloha zůstala z větší části zachována ak po softwarové stránce, tak i po hardwarové. Schéma zapoení viz. obr. 18. Aplikace pro PLC byla doplněna o podprogramy zaišťuící komunikaci s PC po sériové lince RS485. Komunikace

41 Závěrečná zpráva grantového proektu FR MŠMT ČR -4- spočívá v přenosu aktuálních poloh ramen a stolu a stavu magnetu. Dále se přenáší program z PC do PLC a naopak. Přenos e realizován pomocí znakových řetězců, protože k dispozici e pouze ASCDRV ovladač pro ASCII komunikaci. Pro tento typ komunikace bude v PLC využit terminálový port, která má rozhraní RS485. Přes převodník se připoí na COM port PC s rozhraním RS3. Koncový spínač 1 Rameno 1 Rameno1 Koncový spínač Rameno 1 Magnet Otočný stůl Motor 1 Koncový spínač Rameno Koncový spínač Rameno 3 Rameno Koncový spínač 1 Rameno Motor Rameno 3 Koncový spínač 1 Rameno 3 Motor 4 Motor 3 Koncový spínač Další poloha stolu Koncový spínač 1 poloha stolu OVLÁDACÍ PANEL ZESILOVAČ ZDROJ A n a C o u n t i n c o m m TSX DMZ 8DT TSX ASZ TSX DEZ 1D PC Převodník RS485/3 Obr. 18. Zapoení modelu robota

42 Závěrečná zpráva grantového proektu FR MŠMT ČR -41- Control Web V systému Control Web e vytvořena aplikace realizuící operátorsko vizualizační prostředí pro ovládání modelu. S modelem e zaištěna komunikace přes sériovou linku metodou Master Slave. Tato úloha e tvořena třemi okny, umožňuícími úplné sledování a ovládání modelu. První okno (vizualizace) obsahue obrázek modelu dynamicky se měnící podle skutečného stavu. Dále displee ukazuící číselnou polohu ramen a stolu, režim práce, ve kterém se automat právě nachází a e li v režimu auto také aktuální krok. Tlačítka umístěné v pravém dolním rohu umožňuí zapínání/vypínání komunikace, přepínání automatického a manuálního režimu, kalibraci a kvitaci poruchy. Obr. 19. Okno Vizualizace Druhé okno (data) obsahue obekt umožňuící pracovat s databází a ovládací prvky pro práci s ní. Tato databáze slouží k ukládání, editaci a vytváření nových dat určených pro provoz robota v automatickém režimu. Obsahue informace o stavu magnetu, poloze stolu a ramen v každém kroku, kterých může být až 9. Stav magnetu e vyádřen logickou hodnotou zapnuto/vypnuto, poloha stolu číslem 1 6 a polohy ramen sou vyádřeny v cylindrických souřadnicích odpovídaícího rozsahu.

43 Závěrečná zpráva grantového proektu FR MŠMT ČR -4- Zadaná dráha e typu Point To Point. Přenos dat z/do PLC se uskuteční po stisknutí tlačítek FromPLC, nebo ToPLC. Poslední okno (pomoc) e tvořeno HTML stránkou umístěnou na pozadí, která obsahue celkový pohled na technologii a eí stručný popis. Taktéž popisue ovládání CW aplikace. Využit e také integrovaný HTTP server, který zpřístupňue vizualizaci a řízení modelu přes Internet. Vše e realizováno výhradně pomocí HTTP protokolu vytvořením několika HTML stránek. Rovněž zde e rozvržení do tří oken, steně ako ve vlastní aplikaci. První dvě okna sou tvořena dvěmi stránkami, z nichž edna e toolboxem obsahuícím formulářové prvky. Těmito prvky se nastavuí data v aplikaci, spouští se přístroe a procedury. Druhá stránka e dynamicky občerstvována a obsahue aktuální údae z technologie. Poslední okno obsahue opět pár slov o technologickém procesu. Typové úlohy Tento model umožňue vykonávat dvě úlohy: Manuální ovládání modelu přímé polohování ramen robota, nastavení polohy stolu a zapínání magnetu. Toto e možné provádět pouze z ovládacího panelu. V Control Webu tato možnost nebyla realizována, protože při ručním ovládání e vhodné mít přímý kontakt s ovládanou technologií. Automatické řízení vykonávání nastavené Point To Point dráhy. Tato dráha se dá nastavit ak z ovládacího panelu, tak z operátorského stanoviště. Nastavení dráhy z operátorského stanoviště e možné dvěmi způsoby. Prvním způsobem e vyhledání požadovaného programu v databázi. Zde se mohou nacházet kódy vytvořené programátorem pracuícím se známou geometrií modelu, nebo kódy zadané z ovládacího panelu a posléze přenesené a uložené v databázi SCADA/HMI aplikace. Druhou možností e zapsání dráhy operátorem přímo v aplikaci. Při přístupu přes Internet e možný pouze první způsob, protože operátor přistupuící přes Internet by neměl mít možnost zasahovat do databází z důvodu bezpečnosti.

44 Závěrečná zpráva grantového proektu FR MŠMT ČR -43- Teplovzdušný model Pro řízení modelu byl použit PLC TSX Premium s procesorem TSX 571, analogovými I/O kartami TSX ASY 41 (4 vstupy) a TSX AEY 8 (8 výstupů). Vlastní regulaci bude provádět PLC k PC připoeno přes terminálový port po sériové lince RS485/3, komunikace bude probíhat v ASCII módu. Obr.. Zapoení teplovzdušného modelu Control Web V tomto prostředí e vytvořena vizualizace a celkové ovládání teplovzdušného obvodu. I v tomto případě e využit integrovaný HTTP server pro přístup pomocí Internetu. Aplikace e rozdělena do tří oken Hlavní, Grafy a Pomoc.

45 Závěrečná zpráva grantového proektu FR MŠMT ČR -44- Obr. 1. Okno Hlavní Okno hlavní podává ucelený pohled na model a všechny sledované parametry, viz. obr. 1. A to ak graficky, tak pomocí číselných displeů. Je tvořeno dynamickým obrázkem znázorňuícím otáčky obou ventilátorů a rozsvěcuící se žárovkou. Jsou zde vyznačeny také všechny senzory měřící as, teploty a průtok vzduchu. Přesné hodnoty sou zobrazeny v displeích spoených s ednotlivými bloky. Dále toto okno obsahue ovládací prvky pro zapínání/vypínání komunikace a nastavení režimu práce. Pro zadávání akčních zásahů při manuálním řízení sou určeny prvky v pravé části. Okna pro zadávání parametrů pro regulaci a generování signálu se obeví po kliknutí na příslušný blok, který chceme nastavit. Po potvrzení těchto parametrů a přepnutí automatu do režimu regulace se začne regulace provádět. K pozděšímu vyhodnocování charakteristik modelu slouží přístro archiver, který umožňue ukládat průběhy hodnot do databází. Tyto lze potom otevřít v libovolném databázovém prohlížeči, nebo tabulkovém procesoru. Okno Grafy obsahue grafy pro znázornění trendů všech měřených hodnot. V prvním grafu sou zobrazeny hodnoty týkaící se průtoku vzduchu. Ve druhém sou hodnoty souviseící s teplotou a asem. Jsou zde také displee ukazuící aktuální hodnoty.

46 Závěrečná zpráva grantového proektu FR MŠMT ČR -45- Okno Pomoc obsahue stránku ve formátu html, která zobrazue zapoení modelu a základní informace o použití aplikace. Opět e použit i HTTP server pro přístup k aplikaci přes Internet. Stránky sou řešeny obdobně, ako v předešlé úloze. Jsou tři, steně ako ve vlastním systému. Jeich možnosti sou menší, protože neumožňuí manuální řízení modelu, ani práci s databází. Manuální řízení není k dispozici kvůli pomalé odezvě přes Internet a zápis do databáze opět z důvodu bezpečnosti. Typové úlohy Manuální ovládání po přepnutí automatu do režimu Manuál můžeme ednoduše nastavovat libovolné hodnoty akčních zásahů. Tato úloha pracue pouze ze SCADA/HMI systému. Po připoení automatu stisknutím tlačítka PŘIPOJ můžeme tyto hodnoty sledovat buď v okně hlavní, nebo v okně grafy. Regulace tuto úlohu můžeme provozovat ak přímo ze systému SCADA/HMI, tak vzdáleně přes Internet. Nedřív e nutné nadefinovat požadovaný typ regulace a parametry. Toto se nastavue po kliknutí na symbol žárovky (ventilátoru), čímž se obeví editační okno. Zde se nastaví požadovaný typ regulace (zvolí se PID, nebo DVH a některá zpětná vazba). Následně se zviditelní zadávací boxy. Zvolíme li PID regulaci budou to boxy : žádaná hodnota, zesílení, integrační a derivační časová konstanta. Zvolíme li DVH regulaci, tak to budou boxy : žádaná hodnota, hystereze, maximální akční zásah a minimální akční zásah. Kliknutím na symbol bočního ventilátoru se obeví okno pro zadání generování signálu. Tady si můžeme vybrat ze dvou obdélníkového a troúhelníkového. Dále se zadává střední hodnota, amplituda a perioda tohoto signálu. Kliknutím na tlačítko OK se parametry odešlou a okno se zavře. Regulace se spustí akmile se automat nastaví do režimu regulace. Vypíná se tlačítkem STOP. Opět můžeme sledovat hodnoty v ednom ze dvou k tomu určených oken. Kdo chce, může si spustit ukládání do databáze. Měření přechodových charakteristik automat se přepne do režimu manuál. Tlačítkem stop se srazí všechny hodnoty na nulovou úroveň. Po zapnutí ukládání databáze, nastavíme akční zásah na zvolený akční člen a počkáme dokud se hodnoty ze snímače neustálí. Přechodová charakteristika se poté vyhodnotí zpracováním databáze v libovolném softwaru určeném pro eí zpracování.

47 Závěrečná zpráva grantového proektu FR MŠMT ČR -46- Příloha V. Vytvořené výukové moduly pro analýzu a syntézu regulačních obvodů v síti Internet/Intranet Při řešení grantového proektu vznikly rovněž dvě bakalářské práce (Minár, K. Prezentační a výukový modul pro oblast analýzy regulačních obvodů (RO) v prostředí Intranetu; Minář, M. Prezentační a výukový modul v prostředí Internet/Intranet pro oblast syntézy regulačních obvodů), eichž výstupem sou dvě elektronické učebnice zaměřené na teorii syntézy a analýzy regulačních obvodů v prostředí Internet/Intranet. V modulu zaměřeném na oblast syntézy RO bylo zpracováno celkem sedm metod a to: Ziegler-Nicholsova (ZN) metoda přechodové charakteristiky, ZN metoda kritických parametrů, ZN metoda čtvrtinového tlumení, metoda inverze dynamiky, metoda optimálního modulu, metoda symetrického optima, metoda standardních tvarů. V práci e naznačena taktéž metodika syntézy číslicových regulačních obvodů. V další části práce bylo navrženo osm regulovaných soustav, a to včetně soustav s dopravním zpožděním, na kterých byl následně prezentován postup syntézy pro ednotlivé metody. Úvodní obrazovka e vidět na obr.. Obr.. Modul Syntéza regulačních obvodů

48 Závěrečná zpráva grantového proektu FR MŠMT ČR -47- Aby byli moduly maximálně využity, bylo nutno vyřešit eich spolupráci se simulačním programem SIPRO. To e dosaženo zaregistrováním souborů programu SIPRO do systému. Při ruční registraci souborů do systému však nemusí v některých případech modul s programem SIPRO spolupracovat, a vyladit vše tak, aby modul spolupracoval s programem může být časově náročné. Proto byl navržen registrační soubor, který automaticky zaregistrue soubory programu SIPRO do systému. Pokud se SIPRO nebude nacházet na cestě definované v tomto registračním souboru, bude uživatel při prvním pokusu o spuštění akéhokoli souboru programu SIPRO pouze vyzván, aby určil aktuální cestu k programu SIPRO. Pro náročné uživatele pak byl vytvořen instalační soubor, který se snaží naít na síťových a lokálních discích simulační program SIPRO a v případě nalezení provede patřičné úpravy do registru systému bez nutnosti akéhokoli zásahu uživatele. Moduly tedy obsahuí kromě teoretické části problematiky také praktickou část, kde e postup syntézy pro ednotlivé metody prezentován na konkrétních typech regulovaných soustav. Pro každý seřízený regulační obvod byl zhotoven simulační model s průběhem regulované veličiny a kalkulačka, která provede výpočet optimálních hodnot stavitelných parametrů regulátoru na základě dosazení vlastních hodnot zesílení, časových konstant a. v závislosti na typu regulované soustavy popř. použité metodě, viz obr. 3. Obr. 3. Kalkulačka stavitelných parametrů regulátoru

49 Závěrečná zpráva grantového proektu FR MŠMT ČR -48- Druhý prezentační výukový modul e zaměřen do oblasti analýzy RO. Jedná se o oblasti časovou, kmitočtovou, oblast komplexní proměnné a o stabilitu. Těmto kapitolám předcházely kapitoly věnuící se algebře blokových schémat, logaritmickokmitočtovým charakteristikám a základním pomům regulačních obvodů. Jednotlivé kapitoly doprovázeí vzorové příklady, na niž e demonstrována teorie k dané problematice a vybrané příklady byly navíc zpracovány v programu Excel 97. Struktura vytvořeného modulu e vidět na obr. 4. Obr. 4. Grafické ztvárnění WWW stránek ve výukovém modulu Vytvořené výukové moduly sou umístěny na stánkách Katedry automatizační techniky a řízeni ( Funkčnost modulů byla prověřena v prohlížeči Microsoft Internet Explorer 5., který e nainstalován ve vnitroškolské síti VŠB-TU Ostrava. Vytvořené výukové moduly maí poskytnout budoucím uživatelům, hlavně pro studenty kombinovaného studia, ucelený přehled popisované oblasti RO.

Prostředky automatického řízení Úloha č.5 Zapojení PLC do hvězdy

Prostředky automatického řízení Úloha č.5 Zapojení PLC do hvězdy VŠB-TU OSTRAVA 2005/2006 Prostředky automatického řízení Úloha č.5 Zapojení PLC do hvězdy Jiří Gürtler SN 7 Zadání:. Seznamte se s laboratorní úlohou využívající PLC k reálnému řízení a aplikaci systému

Více

Úloha 5 Řízení teplovzdušného modelu TVM pomocí PC a mikropočítačové jednotky CTRL

Úloha 5 Řízení teplovzdušného modelu TVM pomocí PC a mikropočítačové jednotky CTRL VŠB-TUO 2005/2006 FAKULTA STROJNÍ PROSTŘEDKY AUTOMATICKÉHO ŘÍZENÍ Úloha 5 Řízení teplovzdušného modelu TVM pomocí PC a mikropočítačové jednotky CTRL SN 72 JOSEF DOVRTĚL HA MINH Zadání:. Seznamte se s teplovzdušným

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

MĚŘENÍ A REGULACE TEPLOTY V LABORATORNÍ PRAXI

MĚŘENÍ A REGULACE TEPLOTY V LABORATORNÍ PRAXI MĚŘENÍ A REGULACE TEPLOTY V LABORATORNÍ PRAXI Jaromír Škuta a Lubomír Smutný b a) VŠB-Technická Univerzita Ostrava, 17. listopadu 15, 708 33 Ostrava - Poruba, ČR, jaromir.skuta@vsb.cz b) VŠB-Technická

Více

ZÁKLADY AUTOMATICKÉHO ŘÍZENÍ

ZÁKLADY AUTOMATICKÉHO ŘÍZENÍ VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA STROJNÍ ZÁKLADY AUTOMATICKÉHO ŘÍZENÍ 1. týden doc. Ing. Renata WAGNEROVÁ, Ph.D. Ostrava 2013 doc. Ing. Renata WAGNEROVÁ, Ph.D. Vysoká škola báňská

Více

INOVACE PŘEDMĚTU MIKROELEKTRONICKÉ SYSTÉMY - LOKÁLNÍ A VZDÁLENÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ

INOVACE PŘEDMĚTU MIKROELEKTRONICKÉ SYSTÉMY - LOKÁLNÍ A VZDÁLENÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ VŠB TECHNICKÁ UNIVERZITA OSTRAVA Fakulta strojní KATEDRA AUTOMATIZAČNÍ TECHNIKY A ŘÍZENÍ INOVACE PŘEDMĚTU MIKROELEKTRONICKÉ SYSTÉMY - LOKÁLNÍ A VZDÁLENÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ Závěrečná zpráva grantového

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Integrovaná střední škola, Sokolnice 496

Integrovaná střední škola, Sokolnice 496 Integrovaná střední škola, Sokolnice 496 Název projektu: Moderní škola Registrační číslo: CZ.1.07/1.5.00/34.0467 Název klíčové aktivity: III/2 - Inovace a zkvalitnění výuky prostřednictvím ICT Kód výstupu:

Více

DÁLKOVÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ V PROSTŘEDÍ CONTROL WEB 5

DÁLKOVÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ V PROSTŘEDÍ CONTROL WEB 5 1 DÁLKOVÁ SPRÁVA ŘÍDICÍCH SYSTÉMŮ V PROSTŘEDÍ CONTROL WEB 5 VŠB - Technická Univerzita Ostrava, Katedra automatizační techniky a řízení Příspěvek popisuje způsoby přístupů k řídicím systémům na nejnižší

Více

Srovnání PID regulace a anisochronního řízení na PLC Tecomat Foxtrot

Srovnání PID regulace a anisochronního řízení na PLC Tecomat Foxtrot Srovnání PID regulace a anisochronního řízení na PLC Tecomat Foxtrot Martin Hunčovský 1,*, Petr Siegelr 1,* 1 ČVUT v Praze, Fakulta strojní, Ústav přístrojové a řídící techniky, Technická 4, 166 07 Praha

Více

Microchip. PICmicro Microcontrollers

Microchip. PICmicro Microcontrollers Microchip PICmicro Microcontrollers 8-bit 16-bit dspic Digital Signal Controllers Analog & Interface Products Serial EEPROMS Battery Management Radio Frequency Device KEELOQ Authentication Products Návrh

Více

Virtuální instrumentace I. Měřicí technika jako součást automatizační techniky. Virtuální instrumentace. LabVIEW. měření je zdrojem informací:

Virtuální instrumentace I. Měřicí technika jako součást automatizační techniky. Virtuální instrumentace. LabVIEW. měření je zdrojem informací: Měřicí technika jako součást automatizační techniky měření je zdrojem informací: o stavu technologického zařízení a o průběhu výrobního procesu, tj. měření pro primární zpracování informací o bezpečnostních

Více

Robustnost regulátorů PI a PID

Robustnost regulátorů PI a PID Proceedings of International Scientific Conference of FME Session 4: Automation Control and Applied Informatics Paper 45 Robustnost regulátorů PI a PID VÍTEČKOVÁ, Miluše Doc. Ing., CSc., katedra ATŘ, FS

Více

Jiøí Hrbáèek MIKROØADIÈE PIC16CXX a vývojový kit PICSTART Kniha poskytuje ètenáøi základní informace o mikroøadièích øady PIC 16CXX, jejich vlastnostech a použití tak, aby je mohl využít pøi vlastních

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů )

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Führer Ondřej, FUH002 1. AVR procesory obecně

Více

Programovatelné automaty SIMATIC S7 a S5

Programovatelné automaty SIMATIC S7 a S5 Programovatelné automaty SIMATIC S7 a S5 ST-7UEBER přehledové školení zaměřené na PLC SIMATIC S7 délka kurzu 1 den - Přehled a výkonové charakteristiky automatizačních a programovacích zařízení - Struktura,

Více

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU HOST PC - TARGET PC PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ Stanislav Flígl Katedra elektrických pohonů a trakce (K13114),

Více

The Optimization of Modules for M68HC08 Optimalizace modulů pro M68HC08

The Optimization of Modules for M68HC08 Optimalizace modulů pro M68HC08 XXX. ASR '005 Seminar, Instruments and Control, Ostrava, April 9, 005 6 he Optimization of Modules for M68HC08 Optimalizace modulů pro M68HC08 DOLEŽEL, Petr & VAŠEK, Vladimír Ing., Univerzita omáše Bati

Více

Jízda po čáře pro reklamní robot

Jízda po čáře pro reklamní robot Jízda po čáře pro reklamní robot Předmět: BROB Vypracoval: Michal Bílek ID:125369 Datum: 25.4.2012 Zadání: Implementujte modul do podvozku robotu, který umožňuje jízdu robotu po předem definované trase.

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

VÝVOJ ŘÍDICÍCH ALGORITMŮ HYDRAULICKÝCH POHONŮ S VYUŽITÍM SIGNÁLOVÉHO PROCESORU DSPACE

VÝVOJ ŘÍDICÍCH ALGORITMŮ HYDRAULICKÝCH POHONŮ S VYUŽITÍM SIGNÁLOVÉHO PROCESORU DSPACE VÝVOJ ŘÍDICÍCH ALGORITMŮ HYDRAULICKÝCH POHONŮ S VYUŽITÍM SIGNÁLOVÉHO PROCESORU DSPACE Přednáška na semináři CAHP v Praze 4.9.2013 Prof. Ing. Petr Noskievič, CSc. Ing. Miroslav Mahdal, Ph.D. Katedra automatizační

Více

Přípravek pro demonstraci řízení pohonu MAXON prostřednictvím

Přípravek pro demonstraci řízení pohonu MAXON prostřednictvím Přípravek pro demonstraci řízení pohonu MAXON prostřednictvím karty Humusoft MF624. (Jan Babjak) Popis přípravku Pro potřeby výuky na katedře robototechniky byl vyvinut přípravek umožňující řízení pohonu

Více

VÝUKOVÝ MATERIÁL. 3. ročník učebního oboru Elektrikář Přílohy. bez příloh. Identifikační údaje školy

VÝUKOVÝ MATERIÁL. 3. ročník učebního oboru Elektrikář Přílohy. bez příloh. Identifikační údaje školy VÝUKOVÝ MATERIÁL Identifikační údaje školy Číslo projektu Název projektu Číslo a název šablony Autor Tematická oblast Číslo a název materiálu Anotace Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková

Více

Prostředky automatického řízení

Prostředky automatického řízení Vysoká škola báňská Technická univerzita Ostrava Fakulta strojní Katedra automatizační techniky a řízení Prostředky automatického řízení Měření č. 6 - Hierarchická struktura řízení Vypracovali: Pavel Matoška

Více

Modelování a simulace Lukáš Otte

Modelování a simulace Lukáš Otte Modelování a simulace 2013 Lukáš Otte Význam, účel a výhody MaS Simulační modely jsou nezbytné pro: oblast vědy a výzkumu (základní i aplikovaný výzkum) analýzy složitých dyn. systémů a tech. procesů oblast

Více

Ovládání domu s PLC SAIA

Ovládání domu s PLC SAIA 1 Portál pre odborné publikovanie ISSN 1338-0087 Ovládání domu s PLC SAIA Vávra David Elektrotechnika 23.03.2012 Tento článek se stručně věnuje popisu a možnostem programovatelných automatů SAIA typu PCD2

Více

Vypracovat přehled způsobů řízení paralelních kinematických struktur s nadbytečnými pohony

Vypracovat přehled způsobů řízení paralelních kinematických struktur s nadbytečnými pohony Autor DP: Vedoucí práce: Bc. Tomáš Kozák Ing. Jan Zavřel, Ph.D. Vypracovat přehled způsobů řízení paralelních kinematických struktur s nadbytečnými pohony Vytvořit model jednoduchého redundantního mechanismu

Více

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Název veřejné zakázky: Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Technická podmínka: Odůvodnění Zaškolení obsluhy:

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: technika počítačů 1. Kombinační logické obvody a. kombinační logický obvod b. analýza log. obvodu 2. Čítače a. sekvenční logické obvody b. čítače 3. Registry

Více

Návrh konstrukce odchovny 3. dil

Návrh konstrukce odchovny 3. dil 1 Portál pre odborné publikovanie ISSN 1338-0087 Návrh konstrukce odchovny 3. dil Pikner Michal Elektrotechnika 16.02.2011 V minulém díle jsme se seznámily s elektronickým zapojením. Popsali jsme si principy

Více

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ POČÍTAČOVÉ ŘÍENÍ TECHNOLOGICKÝCH PROCESŮ účel a funkce základní struktury technické a programové vybavení komunikace s operátorem zavádění a provoz počítačového řízení Počítačový řídicí systém Hierarchická

Více

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ POČÍTAČOVÉ ŘÍENÍ TECHNOLOGICKÝCH PROCESŮ účel a funkce základní struktury technické a programové vybavení komunikace s operátorem zavádění a provoz počítačového řízení Počítačový řídicí systém H iera rc

Více

Středoškolská technika SCI-Lab

Středoškolská technika SCI-Lab Středoškolská technika 2016 Setkání a prezentace prací středoškolských studentů na ČVUT SCI-Lab Kamil Mudruňka Gymnázium Dašická 1083 Dašická 1083, Pardubice O projektu SCI-Lab je program napsaný v jazyce

Více

ZÁKLADY AUTOMATICKÉHO ŘÍZENÍ

ZÁKLADY AUTOMATICKÉHO ŘÍZENÍ VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA STROJNÍ ZÁKLADY AUTOMATICKÉHO ŘÍZENÍ 8. týden doc. Ing. Renata WAGNEROVÁ, Ph.D. Ostrava 2013 doc. Ing. Renata WAGNEROVÁ, Ph.D. Vysoká škola báňská

Více

MSP 430F1611. Jiří Kašpar. Charakteristika

MSP 430F1611. Jiří Kašpar. Charakteristika MSP 430F1611 Charakteristika Mikroprocesor MSP430F1611 je 16 bitový, RISC struktura s von-neumannovou architekturou. Na mikroprocesor má neuvěřitelně velkou RAM paměť 10KB, 48KB + 256B FLASH paměť. Takže

Více

Témata profilové maturitní zkoušky z předmětu Souborná zkouška z odborných elektrotechnických předmětů (elektronická zařízení, elektronika)

Témata profilové maturitní zkoušky z předmětu Souborná zkouška z odborných elektrotechnických předmětů (elektronická zařízení, elektronika) ta profilové maturitní zkoušky z předmětu Souborná zkouška z odborných elektrotechnických předmětů (elektronická zařízení, elektronika) 1. Cívky - vlastnosti a provedení, řešení elektronických stejnosměrných

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

Integrovaná střední škola, Sokolnice 496

Integrovaná střední škola, Sokolnice 496 Integrovaná střední škola, Sokolnice 496 Název projektu: Moderní škola Registrační číslo: CZ.1.07/1.5.00/34.0467 Název klíčové aktivity: V/2 - Inovace a zkvalitnění výuky směřující k rozvoji odborných

Více

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ POČÍTAČOVÉ ŘÍENÍ TECHNOLOGICÝCH PROCESŮ účel a funkce základní struktury technické a programové vybavení komunikace s operátorem zavádění a provoz počítačového řízení Hierarchická struktura řídicího systému

Více

Rozvojový projekt na rok 2008. Rozvoj přístrojového a experimentálního vybavení laboratoří pracovišť VŠB-TUO

Rozvojový projekt na rok 2008. Rozvoj přístrojového a experimentálního vybavení laboratoří pracovišť VŠB-TUO Rozvojový projekt na rok 2008 3. Program na rozvoj přístrojového vybavení a moderních technologií a) rozvoj přístrojového vybavení Rozvoj přístrojového a experimentálního vybavení laboratoří pracovišť

Více

SYSTÉMY NAČIPU MI-SOC

SYSTÉMY NAČIPU MI-SOC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti SYSTÉMY NAČIPU MI-SOC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana Kubátová

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu Název školy Číslo projektu Autor Název šablony Název DUMu Tematická oblast Předmět Druh učebního materiálu Anotace Vybavení, pomůcky Ověřeno ve výuce dne, třída Střední průmyslová škola strojnická Vsetín

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: počítačové

Více

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks.

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks. Workshop Vývoj embedded aplikací v systému MATLAB a Simulink Jiří Sehnal sehnal@humusoft.cz www.humusoft.cz info@humusoft.cz www.mathworks.com 1 Obsah workshopu Model Based Design model soustavy a regulátoru

Více

UNIVERZÁLNÍ PID REGULÁTORY

UNIVERZÁLNÍ PID REGULÁTORY UNIVERZÁLNÍ PID REGULÁTORY TZN4S (rozměry: š x v x h = 48 x 48 x 100mm) dvoupolohová nebo PID regulace jeden nezávislý alarm druhá žádaná hodnota externím kontaktem manuální i automatické nastavení konstant

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Střední průmyslová škola elektrotechniky, informatiky a řemesel, Frenštát pod Radhoštěm, příspěvková organizace Témata profilové maturitní zkoušky Obor: Elektrotechnika Třída: E4A Školní rok: 2010/2011

Více

Projekt BROB B13. Jízda po čáře pro reklamní robot. Vedoucí projektu: Ing. Tomáš Florián

Projekt BROB B13. Jízda po čáře pro reklamní robot. Vedoucí projektu: Ing. Tomáš Florián FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCHTECHNOLOGIÍ ÚSTAV AUTOMATIZACE A MĚŘICÍ TECHNIKY Projekt BROB 2013 B13. Jízda po čáře pro reklamní robot Vedoucí projektu: Ing. Tomáš Florián Autoři práce: Martin

Více

Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

Vysoká škola Báňská. Technická univerzita Ostrava

Vysoká škola Báňská. Technická univerzita Ostrava Vysoká škola Báňská Technická univerzita Ostrava Nasazení jednočipových počítačů pro sběr dat a řízení Rešerše diplomové práce Autor práce: Vedoucí práce: Bc. Jiří Czebe Ing. Jaromír ŠKUTA, Ph.D. 2015

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT Mgr. Petr

Více

Praha technic/(4 -+ (/T'ERATU"'P. ))I~~

Praha technic/(4 -+ (/T'ERATU'P. ))I~~ Jaroslav Baláte Praha 2003 -technic/(4 -+ (/T'ERATU"'P ))I~~ @ ZÁKLADNí OZNAČENí A SYMBOLY 13 O KNIZE 24 1 SYSTÉMOVÝ ÚVOD PRO TEORII AUTOMATICKÉHO iízení 26 11 VYMEZENí POJMU - SYSTÉM 26 12 DEFINICE SYSTÉMU

Více

INFORMAČNÍ A KOMUNIKAČNÍ TECHNOLOGIE

INFORMAČNÍ A KOMUNIKAČNÍ TECHNOLOGIE Název školy: Střední odborná škola stavební Karlovy Vary Sabinovo náměstí 16, 360 09 Karlovy Vary Autor: Ing. Hana Šmídová Název materiálu: VY_32_INOVACE_12_HARDWARE_S1 Číslo projektu: CZ 1.07/1.5.00/34.1077

Více

WOJCIASZYK, Petr Ing., Katedra ATŘ-352, VŠB-TU Ostrava, 17. listopadu, Ostrava Poruba, ,

WOJCIASZYK, Petr Ing., Katedra ATŘ-352, VŠB-TU Ostrava, 17. listopadu, Ostrava Poruba, , XXXII. Seminar ASR '2007 Instruments and Control, Farana, Smutný, Kočí & Babiuch (eds) 2007, VŠB-TUO, Ostrava, ISBN 978-80-248-1272-4 Smart Instrumentation with ZigBee Wireless Modules Inteligentní instrumentace

Více

400 Série Automatické testovací systémy

400 Série Automatické testovací systémy Informace o výrobku 400 Série Automatické testovací systémy Mail: sales@encentrum.cz EN-CENTRUM, s.r.o. Telefon: +420 257 322 538 - - Lidická 66 Praha 5 - - Telefax: +420 251 560 202 - Internet: www.etl-

Více

Využití přímé inverzní metody pro řízení reálných systémů

Využití přímé inverzní metody pro řízení reálných systémů XXVI. ASR '2001 Seminar, Instruments and Control, Ostrava, April 26-27, 2001 Paper 70 Využití přímé inverzní metody pro řízení reálných systémů ŠKUTOVÁ, Jolana Ing., Katedra ATŘ-352, VŠB-TU Ostrava, 17.

Více

Direct Digital Synthesis (DDS)

Direct Digital Synthesis (DDS) ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Direct Digital Synthesis (DDS) Přímá číslicová syntéza Tyto materiály vznikly za podpory

Více

Profilová část maturitní zkoušky 2017/2018

Profilová část maturitní zkoušky 2017/2018 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2017/2018 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 78-42-M/01 Technické lyceum Předmět: TECHNIKA

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

KNIHOVNA MODELŮ TECHNOLOGICKÝCH PROCESŮ

KNIHOVNA MODELŮ TECHNOLOGICKÝCH PROCESŮ KNIHOVNA MODELŮ TECHNOLOGICKÝCH PROCESŮ Radim Pišan, František Gazdoš Fakulta aplikované informatiky, Univerzita Tomáše Bati ve Zlíně Nad stráněmi 45, 760 05 Zlín Abstrakt V článku je představena knihovna

Více

POUŽITÍ REAL TIME TOOLBOXU PRO REGULACI HLADIN V PROPOJENÝCH VÁLCOVÝCH ZÁSOBNÍCÍCH

POUŽITÍ REAL TIME TOOLBOXU PRO REGULACI HLADIN V PROPOJENÝCH VÁLCOVÝCH ZÁSOBNÍCÍCH POUŽITÍ REAL TIME TOOLBOXU PRO REGULACI HLADIN V PROPOJENÝCH VÁLCOVÝCH ZÁSOBNÍCÍCH P. Chalupa Univerzita Tomáše Bati ve Zlíně Fakulta technologická Ústav řízení procesů Abstrakt Příspěvek se zabývá problémem

Více

Počítač jako prostředek řízení. Struktura a organizace počítače

Počítač jako prostředek řízení. Struktura a organizace počítače Řídicí počítače - pro řízení technologických procesů. Specielní přídavná zařízení - I/O, přerušovací systém, reálný čas, Č/A a A/Č převodníky a j. s obsluhou - operátorské periferie bez obsluhy - operátorský

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

Fyzikální laboratoř. Kamil Mudruňka. Gymnázium, Pardubice, Dašická /8

Fyzikální laboratoř. Kamil Mudruňka. Gymnázium, Pardubice, Dašická /8 Středoškolská technika 2015 Setkání a prezentace prací středoškolských studentů na ČVUT Fyzikální laboratoř Kamil Mudruňka Gymnázium, Pardubice, Dašická 1083 1/8 O projektu Cílem projektu bylo vytvořit

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

Návrh konstrukce odchovny 2. dil

Návrh konstrukce odchovny 2. dil 1 Portál pre odborné publikovanie ISSN 1338-0087 Návrh konstrukce odchovny 2. dil Pikner Michal Elektrotechnika 19.01.2011 V minulem dile jsme si popsali návrh konstrukce odchovny. senzamili jsme se s

Více

Prostředky automatického řízení

Prostředky automatického řízení VŠB-Technická Univerzita Ostrava SN2AUT01 Prostředky automatického řízení Návrh měřícího a řídicího řetězce Vypracoval: Pavel Matoška Zadání : Navrhněte měřicí řetězec pro vzdálené měření průtoku vzduchu

Více

PROSTŘEDKY AUTOMATICKÉHO ŘÍZENÍ Úloha č. 4 Hierarchická struktura řízení

PROSTŘEDKY AUTOMATICKÉHO ŘÍZENÍ Úloha č. 4 Hierarchická struktura řízení VŠB-TU Ostrava SN171 PROSTŘEDKY AUTOMATICKÉHO ŘÍZENÍ Úloha č. 4 Hierarchická struktura řízení Datum měření: 4.4.2007 Vypracoval:Ondřej Winkler Spolupracoval:Martin Valas Zadání: 1. Seznamte se s dílčími

Více

INFORMAČNÍ A KOMUNIKAČNÍ TECHNOLOGIE

INFORMAČNÍ A KOMUNIKAČNÍ TECHNOLOGIE Název školy: Střední odborná škola stavební Karlovy Vary Sabinovo náměstí 16, 360 09 Karlovy Vary Autor: Ing. Hana Šmídová Název materiálu: VY_32_INOVACE_13_HARDWARE_S1 Číslo projektu: CZ 1.07/1.5.00/34.1077

Více

Přednášky o výpočetní technice. Hardware teoreticky. Adam Dominec 2010

Přednášky o výpočetní technice. Hardware teoreticky. Adam Dominec 2010 Přednášky o výpočetní technice Hardware teoreticky Adam Dominec 2010 Rozvržení Historie Procesor Paměť Základní deska přednášky o výpočetní technice Počítací stroje Mechanické počítačky se rozvíjely už

Více

1 Měření paralelní kompenzace v zapojení do trojúhelníku a do hvězdy pro symetrické a nesymetrické zátěže

1 Měření paralelní kompenzace v zapojení do trojúhelníku a do hvězdy pro symetrické a nesymetrické zátěže 1 Měření paralelní kompenzace v zapoení do troúhelníku a do hvězdy pro symetrické a nesymetrické zátěže íle úlohy: Trofázová paralelní kompenzace e v praxi honě využívaná. Úloha studenty seznámí s vlivem

Více

FEL ČVUT Praha. Semestrální projekt předmětu X31SCS Struktury číslicových systémů. Jan Kubín

FEL ČVUT Praha. Semestrální projekt předmětu X31SCS Struktury číslicových systémů. Jan Kubín FEL ČVUT Praha Semestrální projekt předmětu X31SCS Struktury číslicových systémů 2. Rozdělení napájecích zdrojů Stručně 5. Problematika spín. zdrojů Rozdělení napájecích zdrojů Spínané zdroje obecně Blokové

Více

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY Jiří Šebesta Ústav radioelektroniky, Fakulta elektroniky a komunikačních technologií Vysoké učení technické v Brně

Více

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný

Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů. Zdeněk Oborný Mikropočítačová vstupně/výstupní jednotka pro řízení tepelných modelů Zdeněk Oborný Freescale 2013 1. Obecné vlastnosti Cílem bylo vytvořit zařízení, které by sloužilo jako modernizovaná náhrada stávající

Více

DUM 19 téma: Digitální regulátor výklad

DUM 19 téma: Digitální regulátor výklad DUM 19 téma: Digitální regulátor výklad ze sady: 03 Regulátor ze šablony: 01 Automatizační technika I Určeno pro 4. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika Vzdělávací

Více

Úvod do mobilní robotiky NAIL028

Úvod do mobilní robotiky NAIL028 md at robotika.cz http://robotika.cz/guide/umor08/cs 6. října 2008 1 2 Kdo s kým Seriový port (UART) I2C CAN BUS Podpora jednočipu Jednočip... prostě jenom dráty, čti byte/bit, piš byte/bit moduly : podpora

Více

PROSTŘEDKY AUTOMATICKÉHO ŘÍZENÍ

PROSTŘEDKY AUTOMATICKÉHO ŘÍZENÍ NS72 2005/2006 PROSTŘEDKY AUTOMATICKÉHO ŘÍZENÍ Úloha č.2 - Průmyslová sběrnice RS485 Vypracoval: Ha Minh 7. 5. 2006 Spolupracoval: Josef Dovrtěl Zadání. Seznamte se s úlohou distribuovaného systému řízení

Více

POLOHOVÁNÍ ULTRAZVUKOVÉHO SENZORU

POLOHOVÁNÍ ULTRAZVUKOVÉHO SENZORU 1 VŠB - Technická Univerzita Ostrava, Katedra automatizační techniky a řízení Příspěvek popisuje zařízení realizující lineární posuv ultrazvukového snímače. Mechanismem realizujícím lineární posuv je kuličkový

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: automatizační technika 1. Senzory 2. S7-1200, základní pojmy 3. S7-1200, bitové instrukce 4. S7-1200, časovače, čítače 5. Vizualizační systémy 6. S7-1200,

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT Mgr. Petr

Více

OCHRANA VOJENSKÝCH OBJEKTŮ PROTI ÚČINKŮM VÝKONOVÝCH ELEKTROMAGNETICKÝCH POLÍ, SIMULACE EMC FILTRŮ

OCHRANA VOJENSKÝCH OBJEKTŮ PROTI ÚČINKŮM VÝKONOVÝCH ELEKTROMAGNETICKÝCH POLÍ, SIMULACE EMC FILTRŮ OCHRANA VOJENSKÝCH OBJEKTŮ PROTI ÚČINKŮM VÝKONOVÝCH ELEKTROMAGNETICKÝCH POLÍ, SIMULACE EMC FILTRŮ Anotace: Ing. Zbyněk Plch VOP-026 Šternberk s.p., divize VTÚPV Vyškov Zkušebna elektrické bezpečnosti a

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

Struktura a architektura počítačů (BI-SAP) 7

Struktura a architektura počítačů (BI-SAP) 7 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 7 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Vývojové práce v elektrických pohonech

Vývojové práce v elektrických pohonech Vývojové práce v elektrických pohonech Pavel Komárek ČVUT Praha, Fakulta elektrotechnická, K 31 Katedra elektrických pohonů a trakce Technická, 166 7 Praha 6-Dejvice Konference MATLAB 001 Abstrakt Při

Více

Laborato regula ních systém a prost edk Název prezentace ídicích systém Umíst ní laborato E228 Správce laborato Ing. Št pán O ana, Ph.D.

Laborato regula ních systém a prost edk Název prezentace ídicích systém Umíst ní laborato E228 Správce laborato Ing. Št pán O ana, Ph.D. Laboratoř regulačních systémů a prostředků Náev preentace řídicích systémů Umístění laboratoře: E228 Správce laboratoře: Ing. Štěpán Ožana, Ph.D. Zaměření laboratoře Návrh a realiace měřicích a řídicích

Více

PROTOKOL O LABORATORNÍM CVIČENÍ - AUTOMATIZACE

PROTOKOL O LABORATORNÍM CVIČENÍ - AUTOMATIZACE STŘEDNÍ PRŮMYSLOVÁ ŠKOLA V ČESKÝCH BUDĚJOVICÍCH, DUKELSKÁ 13 PROTOKOL O LABORATORNÍM CVIČENÍ - AUTOMATIZACE Provedl: Tomáš PRŮCHA Datum: 23. 1. 2009 Číslo: Kontroloval: Datum: 4 Pořadové číslo žáka: 24

Více

Ověření funkčnosti ultrazvukového detektoru vzdálenosti

Ověření funkčnosti ultrazvukového detektoru vzdálenosti 1 Portál pre odborné publikovanie ISSN 1338-0087 Ověření funkčnosti ultrazvukového detektoru vzdálenosti Plšek Stanislav Elektrotechnika 06.12.2010 Práce se zabývá ověřením funkčnosti ultrazvukového detektoru

Více

Spojité regulátory Zhotoveno ve školním roce: 2011/2012. Spojité regulátory. Jednoduché regulátory

Spojité regulátory Zhotoveno ve školním roce: 2011/2012. Spojité regulátory. Jednoduché regulátory Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Řízení tepelné soustavy s dopravním zpožděním pomocí PLC

Řízení tepelné soustavy s dopravním zpožděním pomocí PLC Řízení tepelné soustavy s dopravním zpožděním pomocí PLC Jan Beran TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl v rámci projektu ESF CZ.1.07/2.2.00/07.0247,

Více

TEAM DESIGN ABB CHALLENGE. EBEC Brno 2012 5. 8. března 2012 www.ebec.cz

TEAM DESIGN ABB CHALLENGE. EBEC Brno 2012 5. 8. března 2012 www.ebec.cz ABB CHALLENGE Automatický záskok napájení Úvod Zadání se věnuje problematice automatického záskoku napájení, které se používá v systémech se dvěma izolovanými napájecími vedeními, připojenými ke dvěma

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Práce s PID regulátorem regulace výšky hladiny v nádrži

Práce s PID regulátorem regulace výšky hladiny v nádrži Práce s PID regulátorem regulace výšky hladiny v nádrži Cíl úlohy Zopakování základní teorie regulačního obvodu a PID regulátoru Ukázka praktické aplikace regulačního obvodu na regulaci výšky hladiny v

Více

Přenos signálů, výstupy snímačů

Přenos signálů, výstupy snímačů Přenos signálů, výstupy snímačů Topologie zařízení, typy průmyslových sběrnic, výstupní signály snímačů Přenosy signálů informací Topologie Dle rozmístění ŘS Distribuované řízení Většinou velká zařízení

Více