Architektura počítačů

Rozměr: px
Začít zobrazení ze stránky:

Download "Architektura počítačů"

Transkript

1 Architektura počítačů 4 Zřetězené vykonávaní instrukcí; Hazardy; Vyvažování stupňů zřetězení a časování; Superzřetězení České vysoké učení technické, Fakulta elektrotechnická AB36APO Architektura počítačů Ver.. 22

2 Motivace k přednášce AMD Bulldozer 5h (FX, Opteron) - 2 AB36APO Architektura počítačů 2

3 Motivace k přednášce Intel Nehalem (Core i7) - 28 AB36APO Architektura počítačů 3

4 Úkol dnešní přednášky Modifikujeme jednocyklový procesor z 2. přednášky na zřetězený procesor. Procesor bude podporovat instrukce: add, sub, and, or, slt, addi, lw, sw a beq Typ 3 R opcode(6), 3:26 rs(5), 25:2 rt(5), 2:6 rd(5), 5: shamt(5) funct(6), 5: I opcode(6), 3:26 rs(5), 25:2 rt(5), 2:6 immediate (6), 5: J opcode(6), 3:26 address(26), 25: AB36APO Architektura počítačů 4

5 Jedno-cyklový procesor spolu s paměťmi 3:26 5: Control Unit Opcode Funct MemToReg MemWrite Branch ALUControl 2: ALUScr RegDest RegWrite PC PC Instr 25:2 WE3 SrcA ALU Instr. 2:6 A2 RD2 SrcB A3 Reg. WD3 File WriteData 2:6 Rt WriteReg 5: Rd 4 PCPlus4 5: Sign Ext <<2 SignImm Zero AluOut PCBranch WE Data WD ReadData Result AB36APO Architektura počítačů 5 Z přednášky č.2

6 Jedno-cyklový procesor výkon: IPS = IC / T = IPC str.f CLK Jaká může být maximální frekvence procesoru? Zpoždění na kritické cestě instrukce lw: Tc = t PC t Mem t RFread t ALU t Mem t Mux t RFsetup PC 25:2 WE3 PC Instr SrcA ALU Instr. 2:6 A2 RD2 SrcB A3 Reg. WD3 File WriteData 2:6 Rt WriteReg 5: Rd 4 PCPlus4 5: Sign Ext <<2 SignImm Zero AluOut PCBranch WE Data WD ReadData Result AB36APO Architektura počítačů 6 Z přednášky č.2

7 Jedno-cyklový procesor výkon: IPS = IC / T = IPC str.f CLK Tc = t PC t Mem t RFread t ALU t Mem t Mux t RFsetup Předpokládejme: t PC = 3 ns t Mem = 3 ns t RFread = 5 ns t ALU = 2 ns t Mux = 2 ns = 2 ns t RFsetup Pak Tc = 2 ns --> f CLK max = 98 khz, IPS =. 98e3 = 98 instrukcí za sekundu AB36APO Architektura počítačů 7 Z přednášky č.2

8 Zřetězené vykonávaní instrukcí Předpokládejme, že vykonání instrukce můžeme rozdělit do 5 stupňů: IF ID EX MEM WB IF Instruction Fetch, ID Instruction decode (and Operand Fetch), EX Execute, MEM Access, WB Write Back a dále τ = max { τ i } k i=, kde τ i je čas šíření (propagation delay) v i-tém stupni. IF poslání PC do paměti a vybrání aktuální instrukce. Aktualizace PC = PC4 ID dekódování instrukce a načtení registrů specifikovaných v instrukci, provedení testu na rovnost registrů (kvůli možnému větvení), znaménkové rozšíření offsetu, výpočet cílové adresy pro případ větvení (zn. rozš. offset PC) EX operace ALU MEM v případě instrukce load /store čtení/zápis do paměti WB v případě instrukcí typu register-register nebo instrukce load zápis výsledku do RF (výsledek může přicházet z ALU nebo paměti) AB36APO Architektura počítačů 8

9 Paralelizmus na úrovni instrukcí - zřetězení IF I I2 I3 I4 I5 I6 I7 I8 I9 I ID I I2 I3 I4 I5 I6 I7 I8 I9 EX I I2 I3 I4 I5 I6 I7 I8 MEM I I2 I3 I4 I5 I6 I7 ST I I2 I3 I4 I5 I τ τ τ τ τ τ Čas vykonání n instrukcí k-stupňové pipeline: T k = k.τ (n ) τ Zrychlení: T nkτ S = = lim S k k T kτ ( n ) τ = n k k čas Předpoklad: ideálně vyvážená pipeline, obvod můžeme libovolně dělit AB36APO Architektura počítačů 9

10 Paralelizmus na úrovni instrukcí - zřetězení Neredukuje čas vykonání individuální instrukce, právě naopak.. Hazardy: Strukturální (řešeny duplikací), datové (důsledek datových závislostí: RAW, WAR, WAW) a řídicí (instrukce měnící PC)... Hazardy způsobují (mohou způsobovat) pozastavení vykonávání (stall) nebo vyprázdnění pipeline Pozn. : Hlubší pipeline (více stupňů) znamená méně hradel v každém stupni a tím pádem možnost zvýšit pracovní frekvenci procesoru.., avšak více stupňů znamená i vyšší režii (nutnost lépe řadit instrukce do pipeline) AB36APO Architektura počítačů

11 Paralelizmus na úrovni instrukcí - Narušení sémantiky Datový hazard: Add zapíše novou hodnotu R ADD R,R2,R3 IF ID EX MEM WB SUB R4,R,R3 sekveční instrukční proud Řídicí hazard: BEQZ R3, M IF ID EX MEM WB IF ID EX MEM WB SUB přečte neplatnou hodnotu R Vyhodnocení podmínky, výpočet PC PC změněn ADD R6,R,R2 instrukce 3 instrukce 4 M: ADD R4,R6,R7 IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB IF ID EX MEM WB Měli být přineseny (a následně spracovávany) tyto instrukce? AB36APO Architektura počítačů

12 Nezřetězené vykonávání PC PC Instr 25:2 WE3 SrcA ALU Instr. 2:6 A2 RD2 SrcB A3 Reg. WD3 File WriteData 2:6 Rt WriteReg 5: Rd 4 PCPlus4 5: Sign Ext <<2 SignImm Zero AluOut PCBranch WE Data WD ReadData Result AB36APO Architektura počítačů 2 Z přednášky č.2

13 Zřetězené vykonávání AluOutW PC PC Instr 25:2 WE3 SrcA ALU Instr. 2:6 A2 RD2 SrcB A3 Reg. WD3 File WriteDataE 2:6 Rt WriteRegE 5: Rd 4 PCPlus4F 5: Sign Ext PCPlus4D <<2 SignImm PCPlus4E Zero AluOutM WriteDataM WriteRegM PCBranch WE Data WD Result ReadData WriteRegW Fetch Decode Execute WriteBack AB36APO Architektura počítačů 3

14 Zřetězené vykonávání 3:26 5: Control Unit Opcode Funct MemToReg MemWrite Branch ALUControl 2: ALUScr RegDest RegWrite AluOutW PC PC Instr 25:2 WE3 SrcA ALU Instr. 2:6 A2 RD2 SrcB A3 Reg. WD3 File WriteDataE 2:6 Rt WriteRegE 5: Rd 4 PCPlus4F 5: Sign Ext PCPlus4D <<2 SignImm PCPlus4E Zero AluOutM WriteDataM WriteRegM PCBranch WE Data WD Result ReadData WriteRegW Fetch Decode Execute WriteBack AB36APO Architektura počítačů 4

15 Totéž, pouze zmenšeno a překresleno Control unit 3:26 Op 5: Funct RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE BranchE RegWriteM MemToRegM MemWriteM BranchD PCSrcM RegWriteW MemTo RegW PC PC 4 A RD Instruction InstrD 25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 File 2:6 5: 5: SignImmD Sign Ext RtD RdD RtE RdE Zero SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE PCPlus4F PCPlus4D PCBranchD <<2 ResultW AB36APO Architektura počítačů 5

16 Vznik datových hazardů Pracovní registry (Register File) přístup v dvou fázích (Decode, WriteBack) zápis v první polovině cyklu, čtení ve druhé.. RAW hazard Jak je možné řešit tento hazard a nedegradovat výkon pipeline? AB36APO Architektura počítačů 6

17 Řešení datových hazardů přeposíláním (forwarding) Pokud výsledek vzniká dřív než jej následující instrukce skutečně potřebují je možné tento hazard řešit přeposíláním (forwarding) nastává když se použité zdrojové registry instrukce ve stupni E shodují s cílovým registrem ve stupni M nebo WB proto musejí být čísla těchto registrů z těchto stupňů posílána do Hazard Unit taktéž zda cílový registr bude skutečně použit k zápisu (jinými slovy, zda se skutečně jedná o cílový registr lw vs. sw) RegWrite z M a WB AB36APO Architektura počítačů 7

18 Stávající procesor Control unit 3:26 Op 5: Funct RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE BranchE RegWriteM MemToRegM MemWriteM BranchD PCSrcM RegWriteW MemTo RegW PC PC 4 A RD Instruction InstrD 25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 File 2:6 5: 5: SignImmD Sign Ext RtD RdD RtE RdE Zero SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE PCPlus4F PCPlus4D PCBranchD <<2 ResultW AB36APO Architektura počítačů 8

19 Řešení datových hazardů přeposíláním (forwarding) Control unit 3:26 Op 5: Funct RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE BranchE RegWriteM MemToRegM MemWriteM BranchD PCSrcM RegWriteW MemTo RegW PC PC 4 A RD Instruction InstrD 25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 25:2 File RsD RsE 2:6 RtD RtE 5: RdD RdE 5: SignImmD Sign Ext Zero SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE PCPlus4F PCPlus4D PCBranchD <<2 ResultW Forward AE Forward BE RegWriteM RegWrite W Hazard unit AB36APO Architektura počítačů 9

20 Řešení datových hazardů pozastavením (stall) Pokud následující instrukce potřebují výsledek dřív než skutečně vzniká je možné tento hazard řešit pozastavením (stall) Pozastavení pipeline je prostředkem řešení hazardů; nezvyšuje však propustnost systému stupně pipeline předcházející stupni kde hazard vzniká jsou pozastaveny do doby, než jsou k dispozici výsledky požadované následujícími instrukcemi ty jsou pak přeposílány (forwarding) AB36APO Architektura počítačů 2

21 Řešení datových hazardů pozastavením (stall) pozastavení se dosáhne podržením hodnoty mezistupňových registrů výsledky z kolizního stupně se musejí ztratit řídicí signály umožňující měnit stav (kontext) procesoru (zápis pracovních registrů nebo do paměti, řízení povolení větvení) se nulují obojí se dosáhne přidáním řídicích vodičů k mezistupňovým registrům umožňujících měnit/uchovat nebo nulovat jejich obsah lw: typ I, rs bázová adresa, imm offset, rt kde uložit AB36APO Architektura počítačů 2

22 Stávající procesor Control unit 3:26 Op 5: Funct RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE BranchE RegWriteM MemToRegM MemWriteM BranchD PCSrcM RegWriteW MemTo RegW PC PC 4 A RD Instruction InstrD 25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 25:2 File RsD RsE 2:6 RtD RtE 5: RdD RdE 5: SignImmD Sign Ext Zero SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE PCPlus4F PCPlus4D PCBranchD <<2 ResultW Forward AE Forward BE RegWriteM RegWrite W Hazard unit AB36APO Architektura počítačů 22

23 Řešení datových hazardů pozastavením (stall) Control unit 3:26 Op 5: Funct RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE BranchE RegWriteM MemToRegM MemWriteM BranchD PCSrcM RegWriteW MemTo RegW PC EN PC 4 A RD Instruction InstrD 25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 25:2 File RsD RsE 2:6 RtD RtE 5: RdD RdE 5: SignImmD Sign Ext Zero SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE PCPlus4F EN PCPlus4D PCBranchD CLR <<2 ResultW Stall F Stall D FlushE Hazard unit Forward AE Forward BE MemToRegE RegWriteM RegWrite W AB36APO Architektura počítačů 23

24 Řídicí hazardy výsledek porovnání je znám až v 4. cyklu.. Proč? AB36APO Architektura počítačů 24

25 Řídicí hazardy raději znát výsledek dříve.. pokud dokážeme stanovit výsledek porovnání už v 2. cyklu můžeme redukovat tzv. misprediction penalty přesun rozhodování dopředu může zavést nové RAW hazardy..!!! AB36APO Architektura počítačů 25

26 Řešení řídicích hazardů vyprázdněním (flush) PC EN PC 4 A RD Instruction PCPlus4F CLR EN Control unit 3:26 Op 5: Funct 5: SignImmD Sign Ext <<2 RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD EquaD = PCSrcD InstrD 25:2 WE3 2:6 A2 A3 RD2 Reg. WD3 25:2 File RsD RsE 2:6 RtD RtE 5: RdD RdE PCPlus4D PCBranchD CLR RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE RegWriteM MemToRegM MemWriteM RegWriteW MemTo RegW SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE ResultW Stall F Stall D FlushE Hazard unit Forward AE Forward BE MemToRegE RegWriteM RegWrite W AB36APO Architektura počítačů 26

27 Řešení vzniklých RAW hazardů přeposíláním nebo pozastavením PC EN PC 4 A RD Instruction PCPlus4F CLR EN Control unit 3:26 Op 5: Funct 5: SignImmD Sign Ext <<2 RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD EquaD = PCSrcD InstrD 25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 File 25:2 RsD RsE 2:6 RtD RtE 5: RdD RdE PCPlus4D PCBranchD CLR RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE Pozastavit Přeposlat / Pozastavit RegWriteM MemToRegM MemWriteM Netřeba RegWriteW MemTo RegW SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE ResultW Stall F Stall D BranchD ForwardAD Forward BD FlushE Hazard unit Forward AE Forward BE MemToRegE RegWriteE RegWriteM RegWrite W AB36APO Architektura počítačů 27

28 Hotovo navržený zřetězený procesor PC EN PC 4 A RD Instruction PCPlus4F CLR EN Control unit 3:26 Op 5: Funct 5: SignImmD Sign Ext <<2 RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD EquaD = PCSrcD InstrD 25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 File 25:2 RsD RsE 2:6 RtD RtE 5: RdD RdE PCPlus4D PCBranchD CLR RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE RegWriteM MemToRegM MemWriteM RegWriteW MemTo RegW SrcAE WE ALUOutM ReadDataW SrcBE ALU Data WriteDataE WriteDataM WD ALUOutW WriteRegE 4: WriteRegM 4: WriteRegW 4: SignImmE ResultW Stall F Stall D BranchD ForwardAD Forward BD FlushE Hazard unit Forward AE Forward BE MemToRegE RegWriteE RegWriteM RegWrite W AB36APO Architektura počítačů 28

29 Zřetězený procesor výkon: IPS = IC / T = IPC str.f CLK Jaká může být maximální frekvence procesoru? Který stupeň je nejpomalejší? Dobu cyklu určuje nejpomalejší stupeň V našem případě: Tc = 3 ns --> khz Zanedbejme plnění pipeline, všechna pozastavení pipeline a všechna vyprázdnění. Pak bude IPC =. IPS = e3 = instrukcí za sekundu Zavedením 5-stupňového zřetězení jsme zlepšili propustnost / 98 = 3,4 krát! (i za předpokladu IPC=) AB36APO Architektura počítačů 29

30 Co jsme navrhli? Návrat k nezřetězenému procesoru 4 PCPlus4F 3:26 5: 2:6 5: 5: Control Unit Opcode Funct Reg. File Sign Ext PCPlus4D MemToReg MemWrite Branch ALUControl 2: ALUScr RegDest RegWrite Rt Rd SignImm PCPlus4E WriteData WriteReg PCBranch AluOutW PC PC Instr 25:2 WE3 SrcA Zero WE Result ALU 2:6 Instr. A2 RD2 SrcB AluOutM Data ReadData A3 WD3 WD <<2 AB36APO Architektura počítačů 3

31 Co jsme navrhli? Návrat k nezřetězenému procesoru Paměti Instr. Data WD WE 4 PCPlus4F 3:26 5: 2:6 5: 5: Control Unit Opcode Funct Reg. File Sign Ext PCPlus4D MemToReg MemWrite Branch ALUControl 2: ALUScr RegDest RegWrite Rt Rd SignImm PCPlus4E WriteData WriteReg PCBranch Řídicí část (control path) AluOutW PC PC Instr 25:2 WE3 SrcA Zero WE Result ALU 2:6 A2 RD2 SrcB AluOutM ReadData A3 WD3 WDDatová část <<2 (data path) AB36APO Architektura počítačů 3

32 Co jsme navrhli? Procesor Řídicí jednotka PC Instrukce RD A PC Instr. Adresa odkud číst / kam zapsat Data k zapsání Data WD WE Povolení zápisu Načtená data Datapath RD A WD Adresa Výsledky AB36APO Architektura počítačů 32

33 Co jsme navrhli? zřetězená verze PC EN PC 4 A RD Instruction PCPlus4F EN Contr ol unit 3:26Op 5:Funct RegWriteD MemToRegD MemWriteD ALUControlD ALUSrcD RegDstD BranchD InstrD25:2 WE3 2:6 A2 RD2 A3 Reg. WD3 25:2 File 2:6 5: 5: SignImmD Sign Ext PCPlus4D PCBranchD <<2 EquaD PCSrcD = RsD RtD RdD CLR RegWriteE MemToRegE MemWriteE ALUControlE ALUSrcE RegDstE RsE RtE RdE SrcAE SrcBEALU WriteDataE WriteRegE 4: SignImmE RegWriteM MemToRegM MemWriteM WE ALUOutM Data W D WriteDataM RegWriteW MemTo RegW ReadDataW ALUOutW WriteRegM 4: WriteRegW 4: ResultW Stall F Stall D BranchD ForwardAD Forward BD FlushE Hazard unit Forward AE Forward BE MemToRegE RegWriteE RegWrite M RegWrit e W AB36APO Architektura počítačů 33

34 Zřetězený procesor časování Specifikace časování pro synchronní sekvenční obvod: t setup předstih t hold přesah Omezující podmínka na předstih signálu před hodinami: Tc >= t pcq t pd t setup AB36APO Architektura počítačů 34

35 Zřetězený procesor časování Omezující podmínka na předstih signálu před hodinami (zohlednění nedokonalosti rozvodu hodin): Tc >= t pcq t pd t setup t skew Představuje omezení pokud se začíná blížit nebo dokonce dominovat nad t pd (příliš hluboká pipeline / příliš mnoho stupňů ) AB36APO Architektura počítačů 35

36 Lineární zřetězení: Vyvažování stupňů zřetězení (též: stromový sumátor, stromová násobička, iterační dělička..) Vyvažování: cílem je rozdělit jednotlivé bloky do N stupňů tak, aby ve zpoždění ve všech stupních bylo pokud možno stejné Volba počtu stupňů závisí od preference: propustnost vs. latence AB36APO Architektura počítačů 36

37 Superzřetězení nevyvážené 5-stupňové zřetězení: IM RF DM RF IF ID EX MEM WB hlubší zřetězení vzniklá další dekompozicí IM RF DM RF IF IS RF EX DF DS TC WB přináší možnost dalšího zvýšení pracovní frekvence, avšak také řadu dalších problémů.. další forwarding, nárůst pozastavení pipeline, hazardy AB36APO Architektura počítačů 37

38 Jaká je délka zřetězení? orientačně P5 (Pentium) : 5 P6 (Pentium 3): P6 (Pentium Pro): 4 NetBurst (Willamette, 8 nm) - Celeron, Pentium 4: 2 NetBurst (Northwood, 3 nm) - Celeron, Pentium 4, Pentium 4 HT: 2 NetBurst (Prescott, 9 nm) - Celeron D, Pentium 4, Pentium 4 HT, Pentium 4 ExEd: 3 NetBurst (Cedar Mill, 65 nm): 3 NetBurst (Presler 65 nm) - Pentium D: 3 Core : 4 Bonnell: 6 K7 Architecture - Athlon : -5 K8 - Athlon 64, Sempron, Opteron, Turion 64: 2-7 ARM 8-9: 5 ARM : 8 Cortex A7: 8- Cortex A8: 3 Cortex A5: 5-25 The Optimum Pipeline Depth for a Microprocessor: AB36APO Architektura počítačů 38

39 Diskuze na závěr V případě řídicí závislosti musel procesor sledovat zda nastane nebo ne řídicí hazard. Pokud byl tento hazard detekován a skoková instrukce skok realizovala, pak přišlo k zahození následující instrukce. V opačném případě jsme pokračovali ve vykonávání běžným způsobem tj. následující instrukcí, která již byla rozpracována. Otázka: Je možné tento problém řešit i jiným způsobem? Odpověď: Ano, budeme tento hazard ignorovat.. Co to přináší? Procesor vykoná vždy instrukci bezprostředně následující za každou skokovou instrukcí (ta mění PC v našem případě s opožděním jednoho cyklu) bez ohledu na platnost či neplatnost podmínky skoku, pokud však tato skoková instrukce není vykonána v důsledku právě tohoto pravidla. Jinými slovy: Skoková instrukce skočí s opožděním jednoho cyklu (jedné instrukce). Tomu se říká branch delay slot. A jaký to má důsledek? Programátor (kompilátor) musí s tímto chováním procesoru počítat! Poznámka: Je to běžné chování mnoha DSP (digital signal processor) nebo některých RISC-ových procesorů (MIPS, SPARC) AB36APO Architektura počítačů 39

40 Diskuze na závěr Úkolem kompilátoru je tedy zabezpečit, že následující instrukce nacházející se v branch delay slotu budou platné a užitečné. Na níže uvedených obrázcích jsou ilustrovány tři alternativy jak je možné plnit delay slot. Nejsnadnější způsob (zároveň nejméně efektivní), je plnit delay slot prázdnou instrukci nop. AB36APO Architektura počítačů 4

Architektury počítačů

Architektury počítačů Architektury počítačů Virtuální paměť II + Pipeline. duben 29 České vysoké učení technické, Fakulta elektrotechnická B35APO Architektura počítačů Ver.3. * Technická knihovna v Dejvicích - virtuální projekt

Více

Architektura počítačů

Architektura počítačů Architektura počítačů Procesor V přednášce byly použity (se souhlasem vydavatelství) obrázky z knihy Paterson, D., Henessy, V.: Computer Organization and Design, The HW/SW Interface. Elsevier, ISBN: 978-0-12-370606-5

Více

Architektura počítačů

Architektura počítačů Architektura počítačů Procesor V přednášce byly použity (se souhlasem vydavatelství) obrázky z knihy Paterson, D., Henessy, V.: Computer Organization and Design, The HW/SW Interface. Elsevier, ISBN: 978--2-3766-5

Více

Architektura počítačů Implementace procesoru

Architektura počítačů Implementace procesoru Architektura počítačů Implementace procesoru http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematcs and physics Ukázková

Více

Architektura počítačů

Architektura počítačů Architektura počítačů Struktura procesoru a paměti Richard Šusta, Pavel Píša České vysoké učení technické, Fakulta elektrotechnická B35APO Architektura počítačů Ver.2. Základní cyklus počítače sekvenční

Více

Strojový kód k d a asembler procesoru MIPS SPIM. MIPS - prostředí NMS NMS. 32 ks 32bitových registrů ( adresa registru = 5 bitů).

Strojový kód k d a asembler procesoru MIPS SPIM. MIPS - prostředí NMS NMS. 32 ks 32bitových registrů ( adresa registru = 5 bitů). Strojový kód k d a asembler procesoru MIPS Použit ití simulátoru SPIM K.D. - cvičení ÚPA 1 MIPS - prostředí 32 ks 32bitových registrů ( adresa registru = 5 bitů). Registr $0 je zero čte se jako 0x0, zápis

Více

Proudové zpracování instrukcí I. Celočíselná pipeline RISC

Proudové zpracování instrukcí I. Celočíselná pipeline RISC Proudové zpracování instrukcí I. Celočíselná pipeline RISC Ing. Miloš Bečvář s využitím slajdů prof. Davida Pattersona CS152, University California at Berkeley, 1996 Osnova přednášky Návrh jednoduché datové

Více

Principy počítačů a operačních systémů

Principy počítačů a operačních systémů Principy počítačů a operačních systémů Zvyšování výkonnosti procesorů Zimní semestr 2/22 Co nám omezuje výkonnost procesoru? Jednocyklové zpracování insn.fetch, dec, exec Vícecyklové zpracování insn.fetch

Více

Architektura počítačů Zvyšování výkonnosti

Architektura počítačů Zvyšování výkonnosti Architektura počítačů Zvyšování výkonnosti http://d3s.mff.cuni.cz http://d3s.mff.cuni.cz/teaching/nswi143 Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics

Více

Procesor. Procesor FPU ALU. Řadič mikrokód

Procesor. Procesor FPU ALU. Řadič mikrokód Procesor Procesor Integrovaný obvod zajišťující funkce CPU Tvoří srdce a mozek celého počítače a do značné míry ovlivňuje výkon celého počítače (čím rychlejší procesor, tím rychlejší počítač) Provádí jednotlivé

Více

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru Počítačové systémy Procesor Miroslav Flídr Počítačové systémy LS 2006-1/17- Západočeská univerzita v Plzni Víceúrovňová organizace počítače Digital logic level Microarchitecture level Processor Instruction

Více

Architektury počítačů

Architektury počítačů Architektury počítačů I/O podsystém I. České vysoké učení technické, Fakulta elektrotechnická A0M36APO Architektury počítačů Ver.1.00 1 O čem bude dnešní přednáška? Propojení jednotlivých částí počítače

Více

Kubatova 19.4.2007 Y36SAP - 13. procesor - control unit obvodový a mikroprogramový řadič RISC. 19.4.2007 Y36SAP-control unit 1

Kubatova 19.4.2007 Y36SAP - 13. procesor - control unit obvodový a mikroprogramový řadič RISC. 19.4.2007 Y36SAP-control unit 1 Y36SAP - 13 procesor - control unit obvodový a mikroprogramový řadič RISC 19.4.2007 Y36SAP-control unit 1 Von Neumannova architektura (UPS1) Instrukce a data jsou uloženy v téže paměti. Paměť je organizována

Více

Assembler RISC RISC MIPS. T.Mainzer, kiv.zcu.cz

Assembler RISC RISC MIPS. T.Mainzer, kiv.zcu.cz Assembler RISC T.Mainzer, kiv.zcu.cz RISC RISC, neboli Reduced Instruction Set Computer - koncepce procesorů s redukovaným souborem instrukcí (vs. CISC, neboli Complex Instruction Set Computer, "bohatý"

Více

Architektury CISC a RISC, uplatnění v personálních počítačích

Architektury CISC a RISC, uplatnění v personálních počítačích Architektury CISC a RISC, uplatnění v personálních počítačích 1 Cíl přednášky Vysvětlit, jak pracují architektury CISC a RISC, upozornit na rozdíly. Zdůraznit, jak se typické rysy obou typů architektur

Více

Proudové zpracování instrukcí II. Hazardy v proudovém zpracování Proudové zpracování FP instrukcí

Proudové zpracování instrukcí II. Hazardy v proudovém zpracování Proudové zpracování FP instrukcí Proudové zpracování instrukcí II. Hazardy v proudovém zpracování Proudové zpracování FP instrukcí Ing. Miloš Bečvář Osnova přednášky Implementace pozastavení v pipeline Datové hazardy a jejich řešení (pozastavení,

Více

Techniky zvýšení výkonnosti procesoru, RISC a CISC procesory

Techniky zvýšení výkonnosti procesoru, RISC a CISC procesory Techniky zvýšení výkonnosti procesoru, RISC a CISC procesory Kategorizace architektur počítačů Co popisuje architektura počítačů: (CPU = ALU + řadič + paměť + Vstupy/Výstupy) Subskalární architektura (von

Více

Princip funkce počítače

Princip funkce počítače Princip funkce počítače Princip funkce počítače prvotní úlohou počítačů bylo zrychlit provádění matematických výpočtů první počítače kopírovaly obvyklý postup manuálního provádění výpočtů pokyny pro zpracování

Více

Architektura počítačů Zvyšování výkonnosti

Architektura počítačů Zvyšování výkonnosti Architektura počítačů Zvyšování výkonnosti http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematcs and physics Faktory

Více

Řetězené zpracování. INP 2008 FIT VUT v Brně

Řetězené zpracování. INP 2008 FIT VUT v Brně Řetězené zpracování INP 2008 FIT VUT v Brně 1 Techniky urychlování výpočtu v HW Lze realizovat speciální kódování dle potřeby dané úlohy Příklad: aritmetické operace v kódu zbytkových tříd jsou extrémně

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Architektury VLIW M. Skrbek a I. Šimeček

Architektury VLIW M. Skrbek a I. Šimeček Architektury VLIW M. Skrbek a I. Šimeček xsimecek@fit.cvut.cz Katedra počítačových systémů FIT České vysoké učení technické v Praze Ivan Šimeček, 2011 MI-PAP, LS2010/11, Predn.3 Příprava studijního programu

Více

Procesory, mikroprocesory, procesory na FPGA. 30.1.2013 O. Novák, CIE 11 1

Procesory, mikroprocesory, procesory na FPGA. 30.1.2013 O. Novák, CIE 11 1 Procesory, mikroprocesory, procesory na FPGA 30.1.2013 O. Novák, CIE 11 1 Od sekvenčních automatů k mikroprocesorům 30.1.2013 O. Novák, CIE 11 2 30.1.2013 O. Novák, CIE 11 3 Architektura počítačů Von Neumannovská,

Více

Provádění instrukcí. procesorem. Základní model

Provádění instrukcí. procesorem. Základní model procesorem 1 Základní model Kód programu (instrukce) a data jsou uloženy ve vnější paměti. Procesor musí nejprve z paměti přečíst instrukci. Při provedení instrukce podle potřeby čte nebo zapisuje data

Více

Procesor Intel Pentium (1) Procesor Intel Pentium (3) Procesor Intel Pentium Pro (1) Procesor Intel Pentium (2)

Procesor Intel Pentium (1) Procesor Intel Pentium (3) Procesor Intel Pentium Pro (1) Procesor Intel Pentium (2) Procesor Intel Pentium (1) 32-bitová vnitřní architektura s 64-bitovou datovou sběrnicí Superskalární procesor: obsahuje více než jednu (dvě) frontu pro zřetězené zpracování instrukcí (značeny u, v) poskytuje

Více

Vícejádrový procesor. Dvě nebo více nezávislých jader Pro plné využití. podporovat multihreading

Vícejádrový procesor. Dvě nebo více nezávislých jader Pro plné využití. podporovat multihreading Vývoj Jan Smuda, Petr Zajíc Procesor ALU (aritmeticko logická jednotka) Registry Řadič Jednotky pro práci s plovoucí čárkou Cache Vývoj procesorů Predikce skoku Plánování instrukcí Naráží na fyzická omezení

Více

Další aspekty architektur CISC a RISC Aktuálnost obsahu registru

Další aspekty architektur CISC a RISC Aktuálnost obsahu registru Cíl přednášky: Vysvětlit principy práce s registry v architekturách RISC a CISC, upozornit na rozdíly. Vysvětlit možnosti využívání sad registrů. Zabývat se principy využívanými v procesorech Intel. Zabývat

Více

RISC a CISC architektura

RISC a CISC architektura RISC a CISC architektura = dva rozdílné přístupy ke konstrukci CPU CISC (Complex Instruction Set Computer) vývojově starší přístup: pomoci konstrukci překladače z VPP co nejpodobnějšími instrukcemi s příkazy

Více

Architektura procesorů PC shrnutí pojmů

Architektura procesorů PC shrnutí pojmů Architektura procesorů PC shrnutí pojmů 1 Co je to superskalární architektura? Minimálně dvě fronty instrukcí. Provádění instrukcí je možné iniciovat současně, instrukce se pak provádějí paralelně. Realizovatelné

Více

Struktura a architektura počítačů (BI-SAP) 7

Struktura a architektura počítačů (BI-SAP) 7 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 7 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Sběrnicová architektura POT POT. Jednotlivé subsystémy počítače jsou propojeny sběrnicí, po které se přenáší data oběma směry.

Sběrnicová architektura POT POT. Jednotlivé subsystémy počítače jsou propojeny sběrnicí, po které se přenáší data oběma směry. Systémov mová sběrnice 1 Sběrnicová architektura Jednotlivé subsystémy počítače jsou propojeny sběrnicí, po které se přenáší data oběma směry. Single master jeden procesor na sběrnici, Multi master více

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architektura počítačů Alfanumerické kódy Řadič procesoru CISC, RISC Pipelining České vysoké učení technické Fakulta elektrotechnická Ver 1.20 J. Zděnek 2014 Alfanumerické kódy Kódování zobrazitelných

Více

Architektura počítačů. Instrukce a návrh instrukční sady. Lubomír Bulej KDSS MFF UK

Architektura počítačů. Instrukce a návrh instrukční sady. Lubomír Bulej KDSS MFF UK Architektura počítačů Instrukce a návrh instrukční sady Lubomír Bulej KDSS MFF UK Pro připomenutí: počítač je (jen) stroj Vykonává program Posloupnost instrukcí uložených v paměti. Vykoná instrukci a posune

Více

CISC A RISC PROCESORY Jak pracují procesory CISC:

CISC A RISC PROCESORY Jak pracují procesory CISC: Cíl přednášky Seznámit se s charakteristickými rysy architektur CISC a RISC. Ukázat, jak tyto rysy postupně pronikaly do architektur procesorů Intel. Ukázat, jak se vyvíjely principy zřetězeného zpracování.

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

Charakteristika dalších verzí procesorů v PC

Charakteristika dalších verzí procesorů v PC Charakteristika dalších verzí procesorů v PC 1 Cíl přednášky Poukázat na principy tvorby architektur nových verzí personálních počítačů. Prezentovat aktuální pojmy. 2 Úvod Zvyšování výkonu cestou paralelizace

Více

Pokročilé Architektury Procesorů

Pokročilé Architektury Procesorů Pokročilé Architektury Procesorů Superpipelinové a Superskalární Procesory Procesory VLIW Ing. Miloš Bečvář Osnova přednášky Shrnutí vlastností skalárního proudově pracujícího procesoru Zvyšování výkonnosti

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

Základy informatiky. 2. Přednáška HW. Lenka Carr Motyčková. February 22, 2011 Základy informatiky 2

Základy informatiky. 2. Přednáška HW. Lenka Carr Motyčková. February 22, 2011 Základy informatiky 2 Základy informatiky 2. Přednáška HW Lenka Carr Motyčková February 22, 2011 Základy informatiky 1 February 22, 2011 Základy informatiky 2 February 22, 2011 Základy informatiky 3 February 22, 2011 Základy

Více

Intel 80486 (2) Intel 80486 (1) Intel 80486 (3) Intel 80486 (4) Intel 80486 (6) Intel 80486 (5) Nezřetězené zpracování instrukcí:

Intel 80486 (2) Intel 80486 (1) Intel 80486 (3) Intel 80486 (4) Intel 80486 (6) Intel 80486 (5) Nezřetězené zpracování instrukcí: Intel 80486 (1) Vyroben v roce 1989 Prodáván pod oficiálním názvem 80486DX Plně 32bitový procesor Na svém čipu má integrován: - zmodernizovaný procesor 80386 - numerický koprocesor 80387 - L1 (interní)

Více

Architektura procesoru ARM

Architektura procesoru ARM Architektura procesoru ARM Bc. Jan Grygerek GRY095 Obsah ARM...3 Historie...3 Charakteristika procesoru ARM...4 Architektura procesoru ARM...5 Specifikace procesoru...6 Instrukční soubor procesoru...6

Více

V 70. letech výzkumy četnosti výskytu instrukcí ukázaly, že programátoři a

V 70. letech výzkumy četnosti výskytu instrukcí ukázaly, že programátoři a 1 Počítače CISC a RISC V dnešní době se ustálilo dělení počítačů do dvou základních kategorií podle typu použitého procesoru: CISC - počítač se složitým souborem instrukcí (Complex Instruction Set Computer)

Více

Architektury CISC a RISC, uplatnění v personálních počítačích - pokračování

Architektury CISC a RISC, uplatnění v personálních počítačích - pokračování Architektury CISC a RISC, uplatnění v personálních počítačích - pokračování 1 Cíl přednášky Vysvětlit, jak pracují architektury CISC a RISC, upozornit na rozdíly. Upozornit, jak se typické rysy obou typů

Více

Architektury CISC a RISC, uplatnění rysů architektur RISC v personálních počítačích - pokračování

Architektury CISC a RISC, uplatnění rysů architektur RISC v personálních počítačích - pokračování Architektury CISC a RISC, uplatnění rysů architektur RISC v personálních počítačích - pokračování 1 Cíl přednášky Vysvětlit další rysy architektur CISC a RISC, upozornit na rozdíly. Upozornit, jak se typické

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

Úvod do architektur personálních počítačů

Úvod do architektur personálních počítačů Úvod do architektur personálních počítačů 1 Cíl přednášky Popsat principy proudového zpracování informace. Popsat principy zřetězeného zpracování instrukcí. Zabývat se způsoby uplatnění tohoto principu

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

Jan Nekvapil ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická

Jan Nekvapil ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Jan Nekvapil jan.nekvapil@tiscali.cz ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Motivace MMX, EMMX, MMX+ 3DNow!, 3DNow!+ SSE SSE2 SSE3 SSSE3 SSE4.2 Závěr 2 Efektivní práce s vektory

Více

Úvod do architektur procesorů

Úvod do architektur procesorů Úvod do architektur procesorů Přednáška pro AČS Ing. Jakub Št astný, Ph.D. 1 1 FPGA Laboratoř/Laboratoř zpracování biologických signálů Katedra teorie obvodů, FEL ČVUT Technická 2, Praha 6, 166 27 23.

Více

Procesor z pohledu programátora

Procesor z pohledu programátora Procesor z pohledu programátora Terminologie Procesor (CPU) = řadič + ALU. Mikroprocesor = procesor vyrobený monolitickou technologií na čipu. Mikropočítač = počítač postavený na bázi mikroprocesoru. Mikrokontrolér

Více

Řízení IO přenosů DMA řadičem

Řízení IO přenosů DMA řadičem Řízení IO přenosů DMA řadičem Doplňující text pro POT K. D. 2001 DMA řadič Při přímém řízení IO operací procesorem i při použití přerušovacího systému je rychlost přenosu dat mezi IO řadičem a pamětí limitována

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

ARCHITEKTURA PROCESORŮ

ARCHITEKTURA PROCESORŮ ARCHITEKTURA PROCESORŮ Základními jednotkami, které tvoří vnitřní strukturu procesorů, jsou: řadič, který má za úkol číst operandy (data, čísla) a instrukce z operační paměti, dekódovat je a na základě

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

BAKALÁŘSKÁ PRÁCE. České vysoké učení technické v Praze Fakulta elektrotechnická. Simulátor procesoru podorujícího MIPS ISA. katedra řídicí techniky

BAKALÁŘSKÁ PRÁCE. České vysoké učení technické v Praze Fakulta elektrotechnická. Simulátor procesoru podorujícího MIPS ISA. katedra řídicí techniky České vysoké učení technické v Praze Fakulta elektrotechnická katedra řídicí techniky BAKALÁŘSKÁ PRÁCE Simulátor procesoru podorujícího MIPS ISA Autor: Adam Svoboda Vedoucí práce: Ing. Michal Štepanovský,

Více

Architektura Pentia úvod

Architektura Pentia úvod Architektura Pentia úvod 1 Co je to superskalární architektura? Minimálně dvě fronty instrukcí. Provádění instrukcí je možné iniciovat současně, instrukce se pak provádějí paralelně. Realizovatelné jak

Více

Architektury CISC a RISC, uplatnění rysů architektur RISC v personálních počítačích - pokračování

Architektury CISC a RISC, uplatnění rysů architektur RISC v personálních počítačích - pokračování Architektury CISC a RISC, uplatnění rysů architektur RISC v personálních počítačích - pokračování 1 Cíl přednášky Vysvětlit další rysy architektur CISC a RISC, upozornit na rozdíly. Upozornit, jak se typické

Více

CHARAKTERISTIKA MODERNÍCH PENTIÍ. Flynnova klasifikace paralelních systémů

CHARAKTERISTIKA MODERNÍCH PENTIÍ. Flynnova klasifikace paralelních systémů Úvod: CHARAKTERISTIKA MODERNÍCH PENTIÍ Flynnova klasifikace paralelních systémů Paralelní systémy lze třídit z hlediska počtu toků instrukcí a počtu toků dat: SI systém s jedním tokem instrukcí (Single

Více

Semestrální práce z předmětu. Jan Bařtipán / A03043 bartipan@studentes.zcu.cz

Semestrální práce z předmětu. Jan Bařtipán / A03043 bartipan@studentes.zcu.cz Semestrální práce z předmětu KIV/UPA Jan Bařtipán / A03043 bartipan@studentes.zcu.cz Zadání Program přečte ze vstupu dvě čísla v hexadecimálním tvaru a vypíše jejich součet (opět v hexadecimální tvaru).

Více

Sekvenční architektury II. Zpracování instrukcí

Sekvenční architektury II. Zpracování instrukcí Sekvenční architektury II Zpracování instrukcí Jak zvýšit výkon CPU zkrátit čas nutný ke zpracování 1 instrukce urychlit časovač (Timer) = zvýšení taktu to je technicky velmi náročné, poslední dobou se

Více

Sekvenční architektury II. Zpracování instrukcí

Sekvenční architektury II. Zpracování instrukcí Sekvenční architektury II Zpracování instrukcí Jak zvýšit výkon CPU zkrátit čas nutný ke zpracování 1 instrukce urychlit časovač (Timer) = zvýšení taktu to je technicky velmi náročné, poslední dobou se

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

PROCESORY. Typy procesorů

PROCESORY. Typy procesorů PROCESORY Procesor (CPU Central Processing Unit) je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost

Více

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu

2.8 Procesory. Střední průmyslová škola strojnická Vsetín. Ing. Martin Baričák. Název šablony Název DUMu. Předmět Druh učebního materiálu Název školy Číslo projektu Autor Název šablony Název DUMu Tematická oblast Předmět Druh učebního materiálu Anotace Vybavení, pomůcky Ověřeno ve výuce dne, třída Střední průmyslová škola strojnická Vsetín

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

Mezipaměti počítače. L2 cache. L3 cache

Mezipaměti počítače. L2 cache. L3 cache Mezipaměti počítače Cache paměť - mezipaměť Hlavní paměť procesoru je typu DRAM a je pomalá. Proto se mezi pomalou hlavní paměť a procesor vkládá menší, ale rychlá vyrovnávací (cache) paměť SRAM. Rychlost

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Principy počítačů I - Procesory

Principy počítačů I - Procesory Principy počítačů I - Procesory snímek 1 VJJ Principy počítačů Část V Procesory 1 snímek 2 Struktura procesoru musí umožnit změnu stavu stroje v libovolném kroku uvolnění nebo znemožnění pohybu dat po

Více

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr.

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr. Model procesoru Jedná se o blokové schéma složené z registrů, paměti RAM, programového čítače, instrukčního registru, sčítačky a řídicí jednotky, které jsou propojeny sběrnicemi. Tento model má dva stavy:

Více

SOU Valašské Klobouky. VY_32_INOVACE_01_8 IKT Procesory, Intel, AMD, Architektura x86-64, AMR. Mgr. Radomír Soural

SOU Valašské Klobouky. VY_32_INOVACE_01_8 IKT Procesory, Intel, AMD, Architektura x86-64, AMR. Mgr. Radomír Soural SOU Valašské Klobouky VY_32_INOVACE_01_8 IKT Procesory, Intel, AMD, Architektura x86-64, AMR Mgr. Radomír Soural Zkvalitnění výuky prostřednictvím ICT Název a číslo projektu CZ.1.07/1.5.00/34.0459 Název

Více

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Literatura W.Stallings: Computer Organization & Architecture J.L.Hennessy, P.A.Patterson: Patterson: Computer Architecture: a Quantitative Approach

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

Kubatova 19.4.2007 Y36SAP 8. Strojový kód Jazyk symbolických instrukcí asembler JSA pro ADOP a AVR. 2007-Kubátová Y36SAP-strojový kód 1

Kubatova 19.4.2007 Y36SAP 8. Strojový kód Jazyk symbolických instrukcí asembler JSA pro ADOP a AVR. 2007-Kubátová Y36SAP-strojový kód 1 Y36SAP 8 Strojový kód Jazyk symbolických instrukcí asembler JSA pro ADOP a AVR 2007-Kubátová Y36SAP-strojový kód 1 Architektura souboru instrukcí, ISA - Instruction Set Architecture Vysoká Architektura

Více

a operačních systémů

a operačních systémů NSWI2 2/2 ZS Principy počítačů a operačních systémů INSTRUKCE Kdybych nařídil generálovi, aby létal od květině ke květině a on by rozkaz neprovedl, nebyla by to chyba generálova, ale moje. král asteroidu

Více

Kubatova Y36SAP 9. Strojový kód ISA architektura souboru instrukcí střadačově, zásobníkově orientovaná, GPR Kubátová Y36SAP-ISA 1

Kubatova Y36SAP 9. Strojový kód ISA architektura souboru instrukcí střadačově, zásobníkově orientovaná, GPR Kubátová Y36SAP-ISA 1 Y36SAP 9 Strojový kód ISA architektura souboru instrukcí střadačově, zásobníkově orientovaná, GPR 2007-Kubátová Y36SAP-ISA 1 Architektura souboru instrukcí, ISA - Instruction Set Architecture Vysoká Architektura

Více

Akademický rok: 2004/05 Datum: Příjmení: Křestní jméno: Osobní číslo: Obor:

Akademický rok: 2004/05 Datum: Příjmení: Křestní jméno: Osobní číslo: Obor: Západočeská univerzita v Plzni Písemná zkouška z předmětu: Zkoušející: Katedra informatiky a výpočetní techniky Počítačová technika KIV/POT Dr. Ing. Karel Dudáček Akademický rok: 2004/05 Datum: Příjmení:

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

Architektura Intel Atom

Architektura Intel Atom Architektura Intel Atom Štěpán Sojka 5. prosince 2008 1 Úvod Hlavní rysem Atomu je podpora platformy x86, která umožňuje spouštět a běžně používat řadu let vyvíjené aplikace, na které jsou uživatelé zvyklí

Více

Simulátory aplikačně specifických instrukčních procesorů Jazyk LISA. Masařík Karel (masarik@fit.vutbr.cz)

Simulátory aplikačně specifických instrukčních procesorů Jazyk LISA. Masařík Karel (masarik@fit.vutbr.cz) Simulátory aplikačně specifických instrukčních procesorů Jazyk LISA Masařík Karel (masarik@fit.vutbr.cz) 1. Úvod Vestavěný systém Jednoúčelový systém, ve kterém je řídicí počítač zcela zabudován do zařízení,

Více

Architektura a princip funkce počítačů

Architektura a princip funkce počítačů Architektura a princip funkce počítačů Co je architektura obecně: souhrn znalostí o prvcích, ze kterých se skládá nebo dá složit nějaký celek o způsobech, kterými lze tyto prvky využít pro dosažení požadovaných

Více

Intel 80286. Procesor a jeho konstrukce. Vývojové typy, činnost procesoru

Intel 80286. Procesor a jeho konstrukce. Vývojové typy, činnost procesoru Procesor a jeho konstrukce. Vývojové typy, činnost procesoru První obvod nazvaný mikroprocesor uvedla na trh firma Intel v roce 1970. Šlo o 4bitový procesor Intel 4004. V roce 1972 byl MCS8 prvním 8bitovým

Více

CHARAKTERISTIKA PROCESORU PENTIUM První verze:

CHARAKTERISTIKA PROCESORU PENTIUM První verze: CHARAKTERISTIKA PROCESORU PENTIUM První verze: Verze Pentia 200 Mhz uvádělo se 330 MIPS (srovnávalo se s 54 MIPS procesoru 486DX2-66). Struktura Pentia Rozhraní 64 bitů datová sběrnice, 32 bitů adresová

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA INFORMAČNÍCH TECHNOLOGIÍ ÚSTAV POČÍTAČOVÝCH SYSTÉMŮ FACULTY OF INFORMATION TECHNOLOGY DEPARTMENT OF COMPUTER SYSTEMS NÁVRH PROCESORU

Více

4-1 4. Přednáška. Strojový kód a data. 4. Přednáška ISA. 2004-2007 J. Buček, R. Lórencz

4-1 4. Přednáška. Strojový kód a data. 4. Přednáška ISA. 2004-2007 J. Buček, R. Lórencz 4-4. Přednáška 4. Přednáška ISA J. Buček, R. Lórencz 24-27 J. Buček, R. Lórencz 4-2 4. Přednáška Obsah přednášky Násobení a dělení v počítači Základní cyklus počítače Charakteristika třech základní typů

Více

Vývoj architektur PC 1

Vývoj architektur PC 1 Vývoj architektur PC 1 Cíl přednášky Prezentovat vývoj architektury PC. Prezentovat aktuální pojmy. 2 První verze Pentia První verze Pentia: kmitočet procesoru - 200 MHz (dnes vyšší jak 3 GHz) uvádělo

Více

Pokročilé architektury počítačů

Pokročilé architektury počítačů Pokročilé architektury počítačů 05 Superskalární techniky Tok dat z/do paměti (Memory Data Flow) a Procesory VLIW a EPIC České vysoké učení technické, Fakulta elektrotechnická A4M36PAP Pokročilé architektury

Více

Vstupně - výstupní moduly

Vstupně - výstupní moduly Vstupně - výstupní moduly Přídavná zařízení sloužící ke vstupu a výstupu dat bo k uchovávání a archivaci dat Nejsou připojována ke sběrnici přímo, ale prostřednictvím vstupně-výstupních modulů ( ů ). Hlavní

Více

Luděk Matyska. Jaro 2015

Luděk Matyska. Jaro 2015 PA039: Architektura superpočítačů a náročné výpočty Luděk Matyska Fakulta informatiky MU Jaro 2015 Luděk Matyska (FI MU) Úvod Jaro 2015 1 / 67 Pravidla hry Účast na přednáškách není povinná Zkouška Pouze

Více

Charakteristika dalších verzí procesorů Pentium

Charakteristika dalších verzí procesorů Pentium Charakteristika dalších verzí procesorů Pentium 1 Cíl přednášky Poukázat na principy architektur nových verzí typů Pentií. Prezentovat aktuální pojmy. 2 Úvod Paralelní systémy lze třídit z hlediska počtu

Více

Pamět ová hierarchie, virtuální pamět. doc. Ing. Róbert Lórencz, CSc.

Pamět ová hierarchie, virtuální pamět. doc. Ing. Róbert Lórencz, CSc. Architektura počítačových systémů Pamět ová hierarchie, virtuální pamět doc. Ing. Róbert Lórencz, CSc. České vysoké učení technické v Praze Fakulta informačních technologií Katedra počítačových systémů

Více

Přednáška 2 A4B38NVS - Návrh vestavěných systémů 2014, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL 1

Přednáška 2 A4B38NVS - Návrh vestavěných systémů 2014, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL 1 Přednáška 2 A4B38NVS - Návrh vestavěných systémů 2014, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL 1 Modifikace bitů slova v SRAM nebo výstupní brány Funkce

Více

Úvod do architektur personálních počítačů

Úvod do architektur personálních počítačů Úvod do architektur personálních počítačů 1 Cíl přednášky Popsat principy proudového zpracování informace. Popsat principy zřetězeného zpracování instrukcí. Zabývat se způsoby uplatnění tohoto principu

Více

Cache paměť - mezipaměť

Cache paměť - mezipaměť Cache paměť - mezipaměť 10.přednáška Urychlení přenosu mezi procesorem a hlavní pamětí Hlavní paměť procesoru je typu DRAM a je pomalá. Proto se mezi pomalou hlavní paměť a procesor vkládá menší, ale rychlá

Více

Pokročilé architektury počítačů

Pokročilé architektury počítačů Pokročilé architektury počítačů Úvod část I. Paralelizmus na úrovni instrukcí, vláken, programů a dat; Časový a prostorový paralelizmus; Datová a řídicí závislost; Bernsteinovy podmínky paralelizmu; České

Více

4. Procesory CISC a RISC: Základní rysy a podněty pro vznik, zřetězení, predikce skoku, základní zástupci.

4. Procesory CISC a RISC: Základní rysy a podněty pro vznik, zřetězení, predikce skoku, základní zástupci. 4. Procesory CISC a RISC: Základní rysy a podněty pro vznik, zřetězení, predikce skoku, základní zástupci. Obsah 4. Procesory CISC a RISC: Základní rysy a podněty pro vznik, zřetězení, predikce skoku,

Více

Strojový kód. Instrukce počítače

Strojový kód. Instrukce počítače Strojový kód Strojový kód (Machine code) je program vyjádřený v počítači jako posloupnost instrukcí procesoru (posloupnost bajtů, resp. bitů). Z hlediska uživatele je strojový kód nesrozumitelný, z hlediska

Více