Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2

Rozměr: px
Začít zobrazení ze stránky:

Download "Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2"

Transkript

1 Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2 Nový projekt vytvoříme volbou New Project Wizard: Introduction z menu File, po které se objeví úvodní okno (obr. 1). Obr. 1 Úvodní okno při vytváření nového projektu ve váhovém prostředí Quartus II. Kliknutím na tlačítku Next se objeví první okno (New Project Wizard: Direktory, Name, Top-Level Entity [page 1 of 5]), kde se definuje pracovní adresář projektu, název projektu a název nejvyšší úrovně entity (obr. 2). Kliknutím na tlačítko prvního řádku se objeví okno, kde si můžeme nadefinovat cestu k pracovnímu adresáři nebo vytvořit adresář nový a do kterého se budou ukládat všechny vytvářené soubory.v našem případě vytvořím pracovní adresář Zakladni_hradla. V druhém a třetím řádku definujeme název projektu a název nejvyšší úrovně entity. Název projektu a nejvyšší entity může, ale nemusí být stejný jako název pracovního adresáře. Pro tento projekt si nadefinujeme stejné názvy. V následujícím okně (New Project Wizard: Add Files [page 2 of 5]) přiřazujeme soubory do projektu. Např. pokud máme v jiném projektu vytvořený VHDL kód, který budeme používat i v tomto projektu, tak si ho tu můžeme přidat (obr. 3). V našem případě nebudeme přidávat žádný soubor, takže pouze klikneme na tlačítko Next.

2 Obr. 2 První okno New Project Wizard: Directory, Name, Top-Level Entity [page 1 of 5] Obr. 3 Druhé okno New Project Wizard: Add Files [page 2 of 5]

3 Objeví se třetí okno New Project Wizard: Family & Device Settings [page 3 of 5], ve kterém nastavíme FPGA pro které budeme projekt navrhovat (obr. 4). V našem případě: a) Family Cyklone II b) Available Device EP2C35F672C6 (můžeme zkontrolovat s popisem na čipu kitu) Obr. 4 Třetí okno New Project Wizard: Family & Device Settings [page 3 of 5] Ve čtvrtém okně New Project Wizard: EDA Tool Settings [page 4 of 5] lze nastavit použití nástrojů jiných výrobců než Altera. Nebudeme nyní používat, proto ani nebudeme měnit (obr. 5). V posledním pátém okně New Project Wizard: Summary [page 5 of 5] jsou zobrazené všechny volby a nastavení, které jsme v předchozích krocích provedli (obr. 6). Pokud jsou všechny nastavení správné klikneme na tlačítko Finish. Pokud je některé nastavení špatné, můžeme se vrátit zpět k příslušnému nastavení kliknutím na tlačítku Back.

4 Obr. 5 Čtvrté okno New Project Wizard: EDA Tool Settings [page 4 of 5] Obr. 6 Páté okno New Project Wizard: Summary [page 5 of 5]

5 Základní logické hradla AND, OR a XOR Projekt ukazuje postup jednoduchého návrhu krok za krokem ve vývojovém prostředí Quartus II vytvoření nových souborů návrhu, kompilace, simulace a programování. Projekt je vytvořený ve vývojovém prostředí Quartus II ver. 9.1sp2. Zadání příkladu č.1 1. Ve vývojovém prostředí Quartus II realizujte projekt na implementaci základních logických funkcí AND (logický součin), OR (logický součet) a XOR (logická nerovnost). Každá funkce bude mít tři vstupy. 2. Jako vstupy použijte přepínače SW(0) až SW(2). 3. Jako výstup bude sloužit LED dioda LEDR(0). Postup řešení ve vývojovém prostředí Quartus II vytvoření návrhu grafickým editorem (soubor Zakladni_hradla.bdf) Tento soubor vytvoříme: V menu File vybereme položku New. V nabídnutém okně (obr. 7) zvolíme Block Diagram/Schematic Files a potvrdíme tlačítkem OK. Obr. 7 Výběr typu nového návrhu Z menu File vybereme položku Save As a program nám nabídne pracovní adresář projektu pro uložení návrhu s názvem stejným jako je název projektu. Název můžeme, ale nemusíme změnit. Volbu potvrdíme tlačítkem Save.

6 Nakreslení schématu Schéma vytvoříme následujícím způsobem: Na panelů nástrojů (obr. 8) klikneme na tlačítko Symbol Tool Obr. 8 Panel nástrojů V okně Symbol, které se otevře, klikneme na Libraries pro rozbalení nabídky a vybereme primitives logic (viz obr.9) Z adresáře logic vybereme symbol and3 a potvrdíme stlačením tlačítka OK Symbol umístíme na požadované místo na pracovní ploše pohybem myši a vložíme ho kliknutím levým tlačítkem myši Klikneme znovu na Symbol Tool V okně Symbol, které se otevře, vybereme opět primitives pin Z adresáře pin vybereme u umístíme na požadované místo symbol input(vstup) třikrát a následně symbol output(výstup)

7 Obr. 9 Výběr prvku v okně Symbol Jednotlivé vývody propojíme vodiči tak, že klikneme v panelech nástrojů na Othogonal Node Tool a myší táhneme od vstupu/výstupu k jednotlivým pinům. Název a hodnotu pinu přiřadíme tak, že na něm klikneme pravým tlačítkem myši a z nabídky vybereme Properties a v zobrazeném okně definujeme název pinu Pin name(s) a v řádku Default value hodnotu vstupního pinu. Výsledné schéma je na obr. 10. SW0 SW1 SW2 INPUT VCC INPUT VCC INPUT VCC AND3 inst OUTPUT LEDR0 Obr. 10 Výsledné schéma třívstupového hradla AND Nyní musíme fyzicky přiřadit vstupy a výstupy pinům FPGA. V menu Assignments vybereme Pin Planner a nadefinujeme fyzické přiřazení vstupů a výstupů pinům FPGA (viz obr. 10). V Node Name nadefinujeme název vstupu/výstupu/sběrnice a v Location nadefinujeme fyzické umístění pinu v FPGA. Informace o tom, ke kterým pinům FPGA jsou připojeny jednotlivé LED diody, tlačítka, přepínače, sedmisegmentovky, LCD displej atd. nalezneme v dokumentu DE2_UserManuall.pdf. Po nadefinování přiřazení vstupů a výstupů zavřeme okno Pin Planner.

8 Obr. 10 Fyzické přiřazení vstupů a výstupů pinům FPGA Mnohem jednodušší je však naimportovat přiřazení všech dostupných vstupů a výstupů a to následovně: V menu Assignments vybereme Import Assignments a v otevřeném okně klikneme na tlačítko a nadefinujeme cestu k souboru DE2_Pin_assignments.csv (který si předtím stáhneme na lokální disk) a následně klikneme na tlačítko. Pouze musíme dodržet stejné přiřazení názvů vstupů a výstupů jako je ve zmiňovaném dokumentu. Kompilace projektu K tomuto účelu zvolte v menu Processing volbu Compiler Tool a v nově otevřeném okně klikněte na tlačítku Start. Po startu kompilace se automaticky zobrazují následující okna: Okno Compiler Tool (viz obr. 11)

9 Obr. 11 Okno Task stav kompilace V tomto okně můžeme sledovat průběh kompilace. Můžeme sledovat celkový čas kompilace, ale i čas zpracování jednotlivých modulů kompilace a míru úspěšnosti celkové kompilace a jednotlivých modulů v procentech. Okno Message (obr. 12) Obr. 12 Okno Message zprávy z průběhu kompilace V tomto okně jsou zobrazované všechny zprávy, které jsou generované v průběhu kompilace. Zobrazované zprávy mohou být informační (info), varovné (warning) nebo chybové (error). Pokud se objeví chybové zprávy, Quartus II umožňuje lokalizovat tuto chybu přímo v souboru návrhu (dvojklik levým tlačítkem myši na zprávě). Kromě toho umožňuje pomoc při odstranění chyby (z nabídky, která se rozbalí po kliknutí pravým tlačítkem myši na zprávě vybrat položku Help). Programování/konfigurace Po úspěšné kompilaci můžeme naprogramovat obvod FPGA tlačítkem (Programmer) nebo v menu Tools volbou Programmer. Po spuštění se otevře okno (obr. 13) programátoru. V tomto okně musíme před samotným programováním nastavit položky Hardware Setup a Mode následujícím způsobem: Kliknutím na položku Hardware Setup se objeví okno pro nastavení používaného hardwaru (obr. 14)

10 V zobrazeném okně klikneme na tlačítku Add Hardware, čímž se otevře další okno Add Hardware (obr. 15) V okně Add Hardware kliknout na tlačítku Auto Detect a měl by být nalezen USB-Blaster. Nastavení potvrdíme tlačítkem OK Nastavíme JTAG mód, pokud tomu tak není Obvod FPGA naprogramujeme pomocí souboru Zakladni_hradla.sof, který přidáme do programátoru tlačítkem (Add File). Po přidání souboru zaškrtneme políčko Program/Configure a spustíme programování tlačítkem (Start). Obr. 13 Okno programování/konfigurace Obr. 14 Okno pro nastavení používaného hardwaru

11 Obr. 15 Okno Add Hardware pro nastavení používaného hardwaru Simulace projektu Po úspěšné kompilaci můžeme projekt simulovat. Simulaci vytvoříme následujícím způsobem: Vytvoříme vektorový soubor průběhu signálů (obr. 16) v menu File vybereme položku New. V nabídnutém okně (obr. 7) zvolíme Vector Waveform File a potvrdíme tlačítkem OK Obr. 16 Okno vektorového souboru průběhu signálů Z menu File vybereme položku Save As a program nám nabídne pracovní adresář projektu pro uložení simulace. Zvolíme název Zakladni_hradla.vwf a volbu potvrdíme tlačítkem Save V menu View zvolíme Utility Windows a Node Finder a otevře se okno Node Finder (obr. 17) pomocí kterého vložíme do souboru všechny vstupy a výstupy, které chceme simulovat. Po kliknutí na tlačítku List se nám v levém okně zobrazí všechny dostupné vstupy a výstupy, z nichž vybereme ty, které chceme simulovat a zkopírujeme pomocí CTRL+C a CTRL+V do simulovaného designu (viz obr. 18). Druhou možností je jednoduše požadovaný pin chytit myší a přesunout do designu

12 Obr. 17 Okno Node Finder definice vstupů a výstupů pro simulaci Obr. 18 Okno vektorového souboru s umístěný simulovanými vstupy a výstupy Nastavíme koncový čas simulace z menu Edit zvolíme End Time a v zobrazeném okně nastavíme hodnotu Time na 100ns (viz obr. 19) Obr. 19 Okno pro nastavení koncového času simulace

13 Nastavení simulovaných průběhů pro jednotlivé vstupní piny klikneme na požadovaný pin (např. SW0) a z nabízeného menu zvolíme Count Value nebo klikneme na požadovaném pinu pravým tlačítkem myši a zvolíme Valueb Count Value (viz obr. 20) V zobrazeném okně (obr. 21) nastavíme v záložce Timing Start time 0ps, End time 100ns a Count every 5ns. Obdobně nastavíme požadované průběhy pro piny SW1 (Count every 10ns) a SW2 (Count every 20ns). Po nastavení parametrů vlastní simulace je nutné tuto simulaci uložit. Výsledek simulace následně uvidíme po jejím spuštění volbou z menu Processing Start Simulation (viz obr. 22). Nastavení průběhů je možné aplikovat pouze na část časového intervalu příslušného pinu. Požadovanou část označíme myší a poté nastavíme Value. Pokud např. aplikujeme Forcing High, bude mít v této oblasti signál hodnotu logické 1. Obr. 20 Výběr pinu pro nastavení simulovaného průběhu

14 Obr. 21 Okno pro nastavení vlastního požadovaného simulovaného průběhu Obr. 22 Výsledek simulace

15 Postup řešení ve vývojovém prostředí Quartus II vytvoření návrhu schematickým editorem (soubor Zakladni_hradla1.bdf) Založte si nový projekt s názvem Zakladni_hradla_1. Před začátkem psaní vlastního VHDL kódu je nutné nastavit nejvyšší entitu projektu a nadefinovat vstupy a výstupy projektu. Nejdříve vytvoříme soubor návrhu stejným způsobem jako při použití grafického editoru: V menu File vybereme položku New. V nabídnutém okně (obr. 7) zvolíme Block Diagram/Schematic File a potvrdíme tlačítkem OK. Z menu File vybereme položku Save As a program nám nabídne pracovní adresář projektu pro uložení návrhu s názvem stejným jako je název projektu. Název můžeme, ale nemusíme změnit. Volbu potvrdíme tlačítkem Save. Vytvoření blokového diagramu Schéma vytvoříme následujícím způsobem: Na panelů nástrojů (obr. 8) klikneme na tlačítko Block Tool, vytvoříme blok (viz obr.23), který bude reprezentovat jeden VHDL soubor. Dvakrát klikneme na název bloku (nyní je pojmenován block_name ) a přejmenujeme blok např. na Hradlo_and. hradlo_and SW0 SW1 INPUT VCC INPUT VCC I/O SW0 SW1 SW2 LEDR0 Type INPUT INPUT INPUT OUTPUT OUTPUT LEDR0 SW2 INPUT VCC inst Obr. 23 Blok Hradlo_and s nadefinovanými vstupy a výstupy Nastavení vstupů a výstupů bloku Klikneme na blok pravým tlačítkem myší a zvolíme Properties. Na záložce I/Os (viz obr. 24) vytvoříme vstupy a výstupy bloku u každého stačí nastavit jméno ( Name ) a typ ( Type ). 1. Vstup SW0 INPUT 2. Vstup SW1 INPUT 3. Vstup SW2 INPUT 4. Výstup LEDR0 OUTPUT

16 Obr. 24 Nastavení vstupů a výstupů Vytvoření vstupů a výstupů projektu Na panelů nástrojů (obr. 8) klikneme na tlačítko Symbol Tool V okně Symbol, které se otevře, klikneme na Libraries pro rozbalení nabídky a vybereme primitives logic (viz obr.9). Z adresáře pin vybereme u umístíme na požadované místo symbol input(vstup) třikrát a následně symbol output(výstup) Jednotlivé vývody propojíme vodiči tak, že klikneme v panelech nástrojů na Orthogonal Conduit Tool a myší táhneme od vstupu/výstupu k jednotlivým pinům. Název a hodnotu pinu přiřadíme tak, že na něm klikneme pravým tlačítkem myši a z nabídky vybereme Properties a v zobrazeném okně definujeme název pinu Pin name(s) a v řádku Default value hodnotu vstupního pinu. Fyzické přiřazení vstupů a výstupů pinům FPGA Nyní musíme fyzicky přiřadit vstupy a výstupy pinům FPGA. Můžeme postupovat jako v předchozím příkladu přiřadit piny použitím vnitřního editoru a v menu Assgnments vybereme Pin Planner a nadefinujeme fyzické přiřazení vstupů a výstupů pinům FPGA (viz obr. 10). V Node Name nadefinujeme název vstupu/výstupu/sběrnice a v Location nadefinujeme fyzické umístění pinu v FPGA. Pokud nechceme použít vnitřní editor, protože práce v něm je zdlouhavá, můžeme rovnou editovat soubor Zakladni_hradla_1.qsf (viz obr. 25), ve kterém je toto přiřazení uloženo. V tomto souboru jsou uloženy parametry o použitém FPGA. Pod tyto definice můžeme začít vkládat přiřazení pinů FPGA vstupům a výstupů entity. Toto přiřazení se provádí následujícím způsobem: set_location_assignment PIN_N25 to SW0 kde: PIN_N25 je označení pinu FPGA a SW0 je označení vstupu nebo výstupu ve VHDL kódu

17 Tímto způsobem lze přiřadit všechny vstupy a výstupy entity. Obr. 25 Výsledný QSF soubor Vygenerování VHDL souboru Dalším krokem je vygenerování VHDL souboru pro top_block. Protože již máme nadefinovány vstupy a výstupy tohoto bloku, bude vygenerovaný soubor automaticky obsahovat jejich definici v jazyku VHDL. Klikneme na blok pravým tlačítkem myši a v menu zvolíme Create Design File from Selected Block V okně, které se objeví (viz obr. 26) zatrhneme VHDL a potvrdíme tlačítkem OK Otevře se okno s vygenerovaným VHDL kódem (viz obr. 27)

18 Obr. 26 Okno pro výběr typu souboru návrhu Obr. 27 Okno s vygenerovaným VHDL kódem Úprava vygenerovaného VHDL kódu Ve vygenerovaném kódu vidíme rozdělení do dvou základních částí: 1. ENTITY definuje rozhranní (interface) navrhovaného modulu pomocí PORT jsou deklarovány vstupy a výstupy bloku 2. ARCHITECTURE definuje obsah modelu definovaného entitou První část nám nadefinoval automaticky sám Quartus díky tomu, že jsme již dříve nadefinovali vstupy a výstupy bloku. Samotné chování návrhu pak již záleží jen na nás. V tomto ukázkovém příkladu je použit jen základní propojovací příkaz, který spojí vstupy návrhu s výstupem, abychom mohli lehce ověřit funkci návrhu. Tento příkaz je v následující ukázce zobrazen modrou tučnou barvou.

19 LIBRARY ieee; USE ieee.std_logic_1164.all; -- Entity Declaration ENTITY top_block IS -- {{ALTERA_IO_BEGIN}} DO NOT REMOVE THIS LINE! PORT ( SW0 : IN STD_LOGIC; SW1 : IN STD_LOGIC; SW2 : IN STD_LOGIC; LEDR0 : OUT STD_LOGIC ); -- {{ALTERA_IO_END}} DO NOT REMOVE THIS LINE! END top_block; -- Architecture Body ARCHITECTURE top_block_architecture OF top_block IS BEGIN LEDR0 <= SW0 and SW1 and SW2; END top_block_architecture; Následuje spuštění kompilace projektu a po jejím úspěšném provedení konfigurace FPGA kitu a nahrání konfiguračního souboru do hradlového pole. Dodatek Přehled souborů projektu (výběr): *.qpf (Quartus Project File) Soubor projektu v prostředí Quartus *.qsf Sřiřazení vstupů a výstupů k pinům HW obvodu *.bdf (Block Diagram/Schematic File) Soubor grafického editoru popisující strukturu zapojení *.vhd VHDL soubor *.vwf Soubor pro simulaci, obsahující průběhy jednotlivých signálů *.sof zkompilovaný projekt

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Vytvoření projektu pro desku DE2 v Altera Quartus Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Obsah Vytvoření projektu pro desku DE2 v Altera Quartus...

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1.

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Simulace v Quartus II 13.0sp1

Simulace v Quartus II 13.0sp1 Simulace v Quartus II 13.0sp1 Richard Šusta, Katedra řídicí techniky ČVUT-FEL v Praze V Quartus II 13.0sp1 postup simulace mnohem jednodušší než v předchozích verzích. Předpokládejme, že máte vytvořený

Více

Demonstrační kufřík TAC XENTA

Demonstrační kufřík TAC XENTA Demonstrační kufřík TAC XENTA Zadání 1. Pomocí aplikace Menta vytvořte funkční logiku systému. 2. Seznamte se s programem TAC Vista Workstation, tak abyste byli schopni vytvořit vlastní projekt a vyzkoušet

Více

Neřízené usměrňovače reálné vlastnosti

Neřízené usměrňovače reálné vlastnosti Počítačové cvičení BNEZ 1 Neřízené usměrňovače reálné vlastnosti Úkol 1: Úkol 2: Úkol 3: Úkol 4: Úkol 5: Pomocí programu OrCAD Capture zobrazte voltampérovou charakteristiku diody 1N4007 pro rozsah napětí

Více

Poznámky k programování hradlových polí ALTERA EPM3064ALC10 Vladimír Váňa

Poznámky k programování hradlových polí ALTERA EPM3064ALC10 Vladimír Váňa Poznámky k programování hradlových polí ALTERA EPM3064ALC10 Vladimír Váňa Informace pro studenty: Poznámky jsem sepisoval při seznamování se s FPGA firmy Altera. V té době jsem měl k dispozici obvod EPM3064.

Více

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam).

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam). Import certifikátů Prvním krokem je vygenerování a import kořenového a uživatelského certifikátu obdobně jako u sítě Eduroam. Pokud již máte certifikáty importované z Eduroam, tuto část návodu vynechte.

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna CAD library Aplikace CAD library je určena pro zobrazení schémat a pohledů na přístroje firmy Schneider Electric (obsahuje také knihovnu elektrotechnických značek pro všeobecné použití). Zobrazené výkresy

Více

1 Návod na instalaci prostředí LeJOS-NXJ a přehrání firmwaru NXT kostky

1 Návod na instalaci prostředí LeJOS-NXJ a přehrání firmwaru NXT kostky 1 Návod na instalaci prostředí LeJOS-NXJ a přehrání firmwaru NXT kostky 1. Nainstalujte ovladač na připojení NXJ přes USB rozhraní. Pokud jste nainstalovali software od LEGO Mindstorms, který se k legu

Více

Vytvoření tiskové sestavy kalibrace

Vytvoření tiskové sestavy kalibrace Tento návod popisuje jak v prostředí WinQbase vytvoříme novou tiskovou sestavu, kterou bude možno použít pro tisk kalibračních protokolů. 1. Vytvoření nového typu sestavy. V prvním kroku vytvoříme nový

Více

MS SQL Server 2008 Management Studio Tutoriál

MS SQL Server 2008 Management Studio Tutoriál MS SQL Server 2008 Management Studio Tutoriál Vytvoření databáze Při otevření management studia a připojením se ke konkrétnímu sql serveru mám v levé části panel s názvem Object Explorer. V tomto panelu

Více

MANUÁL administrátora elektronické spisové služby

MANUÁL administrátora elektronické spisové služby MANUÁL administrátora elektronické spisové služby Administrace obálek a sestav (NÁVRHÁŘ) 1 PilsCom, s.r.o. OBSAH 1. NÁVRHÁŘ OBECNĚ... 3 2. NASTAVENÍ MS INTERNET EXPLORERU... 4 3. SPUŠTĚNÍ NÁVRHÁŘE OBÁLKY...

Více

Instalace Microsoft SQL serveru 2012 Express

Instalace Microsoft SQL serveru 2012 Express Instalace Microsoft SQL serveru 2012 Express Podporované OS Windows: Windows 7, Windows 7 Service Pack 1, Windows 8, Windows 8.1, Windows Server 2008 R2, Windows Server 2008 R2 SP1, Windows Server 2012,

Více

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1.

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1. Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM Manuál pro administrátory Verze 1.0 2012 AutoCont CZ a.s. Veškerá práva vyhrazena. Tento

Více

NAS 323 NAS jako VPN Server

NAS 323 NAS jako VPN Server NAS 323 NAS jako VPN Server Naučte se používat NAS jako VPN server A S U S T O R C O L L E G E CÍLE KURZU V tomto kurzu se naučíte: 1. Nastavit ASUSTOR NAS jako VPN server a připojit se k němu z počítačů

Více

Instalace SQL 2008 R2 na Windows 7 (64bit)

Instalace SQL 2008 R2 na Windows 7 (64bit) Instalace SQL 2008 R2 na Windows 7 (64bit) Pokud máte ještě nainstalovaný MS SQL server Express 2005, odinstalujte jej, předtím nezapomeňte zálohovat databázi. Kromě Windows 7 je instalace určena také

Více

Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7

Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7 Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7 Seznámení s programem STEP 7 bude provedeno řešením jednoduché úlohy. Lis s ochranným zařízením má být spuštěn jen pomocí signálu START- spínače S1,

Více

První kroky s METEL IEC IDE

První kroky s METEL IEC IDE První kroky s poskytuje programování v IEC 61131-3 jazycích, podporuje jak grafickou tak textovou podobu. Umožňuje vytvářet, upravovat a ladit IEC 61131-3 (ST, LD, IL, FBD) programy pro řídicí jednotky

Více

Postup přechodu na podporované prostředí. Přechod aplikace BankKlient na nový operační systém formou reinstalace ze zálohy

Postup přechodu na podporované prostředí. Přechod aplikace BankKlient na nový operační systém formou reinstalace ze zálohy Postup přechodu na podporované prostředí Přechod aplikace BankKlient na nový operační systém formou reinstalace ze zálohy Obsah Zálohování BankKlienta... 3 Přihlášení do BankKlienta... 3 Kontrola verze

Více

IP kamera. Uživatelský manuál

IP kamera. Uživatelský manuál IP kamera Uživatelský manuál Upozornění: Tento manuál nemusí zcela přesně popisovat některé technické detaily nebo může obsahovat tiskařské chyby. Pokud byste nemohli vyřešit některé problémy pomocí tohoto

Více

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam).

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam). IMPORT CERTIFIKÁTŮ Prvním krokem je vygenerování a import kořenového a uživatelského certifikátu obdobně jako u sítě Eduroam. Pokud již máte certifikáty importované z Eduroam, tuto část návodu vynechte.

Více

MIDAM Simulátor Verze 1.5

MIDAM Simulátor Verze 1.5 MIDAM Simulátor Verze 1.5 Simuluje základní komunikační funkce modulů Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600. Umožňuje změny konfigurace, načítání

Více

Stručný postup k použití programu PL7 Junior (programování TSX Micro)

Stručný postup k použití programu PL7 Junior (programování TSX Micro) Stručný postup k použití programu PL7 Junior (programování TSX Micro) 1. Připojení PLC TSX Micro k počítači Kabel, trvale zapojený ke konektoru TER PLC, je nutné zapojit na sériový port PC. 2. Spuštění

Více

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem.

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem. 83 14. (Pouze u verze Mathcad Professional) je prostředí pro přehlednou integraci a propojování aplikací a zdrojů dat. Umožní vytvořit složitý výpočtový systém a řídit tok dat mezi komponentami tohoto

Více

Voltampérová charakteristika diody

Voltampérová charakteristika diody Voltampérová charakteristika diody Pozn.: Voltampérovou charakteristiku diod, resp. i rezistorů, žárovek aj. lze proměřovat se soupravou ISES-PCI a též i s ISES-USB. Souprava ISES-PCI, resp. ISES-PCI Professional

Více

Jak vytvořit vlastní ikonu bloku a faceplate v PCS7 V6.x a 7.x

Jak vytvořit vlastní ikonu bloku a faceplate v PCS7 V6.x a 7.x Jak vytvořit vlastní ikonu bloku a faceplate v PCS7 V6.x a 7.x Otázka: Jak postupovat při tvorbě vlastní ikony bloku a faceplate pro uživatelsky vytvořený funkční blok PCS7 Odpověď: Pro každý uživatelský

Více

Reliance 3 design OBSAH

Reliance 3 design OBSAH Reliance 3 design Obsah OBSAH 1. První kroky... 3 1.1 Úvod... 3 1.2 Založení nového projektu... 4 1.3 Tvorba projektu... 6 1.3.1 Správce stanic definice stanic, proměnných, stavových hlášení a komunikačních

Více

Ing. Michal Martin. CODESYS v panelech firmy Weintek

Ing. Michal Martin. CODESYS v panelech firmy Weintek Ing. Michal Martin CODESYS v panelech firmy Weintek CODESYS v panelech firmy Weintek Autor: Ing. Michal Martin Copyright TECON spol. s.r.o., Vrchlabí, Česká republika Tato publikace prošla jen částečnou

Více

František Hudek. duben ročník

František Hudek. duben ročník VY_32_INOVACE_FH09_WIN Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, obor, okruh, téma Anotace František Hudek duben 2013 6.

Více

Návod na nastavení bezdrátového routeru Asus WL-520g Deluxe v režimu klient

Návod na nastavení bezdrátového routeru Asus WL-520g Deluxe v režimu klient Návod na nastavení bezdrátového routeru Asus WL-520g Deluxe v režimu klient Příprava k nastavení Příprava k nastavení Ethernet port routeru označený 1 spojíme UTP kabelem s ethernetovým portem počítače.

Více

Export tabulky výsledků

Export tabulky výsledků StatSoft Export tabulky výsledků Jelikož prezentace výsledků je důležitou součástí naší každodenní práce, ukážeme si tentokrát, jak exportovat tabulky výsledků nejen do MS Wordu. Také se může hodit vědět,

Více

Rocrail. Nejprve: Obecný úvod. Instalace

Rocrail. Nejprve: Obecný úvod. Instalace Rocrail Nejprve: Používám operační systém Windows XP a digitální systém od DCCKoleje (Hcentrála + GenLi USB), proto bude vše popsáno pro tyto systémy. Pro jiné systémy se mohou některé postupy lišit. Obecný

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY

ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY Spusťte BIDS - z menu vyberte File/New/Project a vytvořte nový Analysis Services Project typu Bussines Inteligence Project - doplňte jméno projektu

Více

Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN

Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Abstrakt Tento aplikační postup je ukázkou jak

Více

Formátování pomocí stylů

Formátování pomocí stylů Styly a šablony Styly, šablony a témata Formátování dokumentu pomocí standardních nástrojů (přímé formátování) (Podokno úloh Zobrazit formátování): textu jsou přiřazeny parametry (font, velikost, barva,

Více

PREZENTACE 1.22 HYPERTEXTOVÉ ODKAZY

PREZENTACE 1.22 HYPERTEXTOVÉ ODKAZY 1.22 HYPERTEXTOVÉ ODKAZY Při práci s prezentací bývá v některých případech vhodné vzájemně propojit snímky prezentace tak, abychom se mohli pohybovat nejen o snímek vpřed a vzad, ale i tzv. na přeskáčku.

Více

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9 Analýza elektronických obvodů programem PSpice 9 3 Editor Capture U editoru Capture závisí nabídka hlavní lišty na tom, které okno pracovní plochy je aktivované. V dalším textu budou popsány jen ty položky,

Více

1. Základní pojmy, používané v tomto manuálu. 2. Stránky

1. Základní pojmy, používané v tomto manuálu. 2. Stránky Redakční systém manuál 1. Základní pojmy, používané v tomto manuálu Hlavní menu Menu v horní světlemodré liště obsahující 7 základních položek: Publikovat, Správa, Vzhled, Komentáře, Nastavení, Pluginy,

Více

Gabriela Janská. Středočeský vzdělávací institut akademie J. A. Komenského www.sviajak.cz

Gabriela Janská. Středočeský vzdělávací institut akademie J. A. Komenského www.sviajak.cz PŘÍRUČKA KE KURZU: ZÁKLADY PRÁCE NA PC MS WORD 2003 Gabriela Janská Středočeský vzdělávací institut akademie J. A. Komenského www.sviajak.cz Obsah: 1. Písmo, velikost písma, tučně, kurzíva, podtrhnout

Více

B. TVORBA DOKUMENTACE NA PC- EAGLE

B. TVORBA DOKUMENTACE NA PC- EAGLE B. TVORBA DOKUMENTACE NA PC- EAGLE Návrhový systém EAGLE se skládá ze tří modulů, které nám umožní zpracovat základní dokumentaci k elektronickému obvodu: 1. návrh schématu - schématický editor - SCH E,

Více

Uživatelská příručka k portálu WWW.DIAGNOSTIK.CZ. Společnost pro kvalitu školy, o.s. část druhá. administrace testování na portálu

Uživatelská příručka k portálu WWW.DIAGNOSTIK.CZ. Společnost pro kvalitu školy, o.s. část druhá. administrace testování na portálu Uživatelská příručka k portálu WWW.DIAGNOSTIK.CZ Společnost pro kvalitu školy, o.s. část druhá administrace testování na portálu Ostrava 2012 1 Obsah Úvod:... 3 1. Výběr kampaně výběr Termínu testování...

Více

NÁVOD K POUŽITÍ. IP kamerový systém.

NÁVOD K POUŽITÍ. IP kamerový systém. NÁVOD K POUŽITÍ IP kamerový systém www.slkamery.cz 1 Aplikace pro tablety a telefony: Kompletní obsah CD se SW pro instalaci v PC můžete stáhnout zde: http://uloz.to/xaufpwhg/swkam-rar 2 1. Nastavení kamery

Více

Vytvoření modelu dvojitého kyvadla

Vytvoření modelu dvojitého kyvadla Vytvoření modelu dvojitého kyvadla Text je určen pro začátečníky v používání simulinku, vytvořeno v simulinku verze 7.6 (R2010b) 1. Spustíme MATLAB 2. V Command Window MATLABu spustíme příkaz: >> simulik

Více

Instalace MS SQL Server Express a MS SQL Server Management Express

Instalace MS SQL Server Express a MS SQL Server Management Express Instalace MS SQL Server Express a MS SQL Server Management Express Následující kroky popisují instalaci SQL serveru 2005 Express. Důležitá nastavení jsou popsána u jednotlivých obrázků. Jednotlivé kroky

Více

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná.

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná. Interface LPG / CNG Bluetooth ProjektTECH Instrukce k instalaci a konfiguraci zařízení v1.0 cz U rozhraní bluetooth není instalace ovladače potřebná. (párovací kód: 1234) 1. Požadavky na hardware : - PC

Více

ZSF web a intranet manuál

ZSF web a intranet manuál ZSF web a intranet manuál Verze pro školení 11.7.2013. Návody - Jak udělat...? WYSIWYG editor TinyMCE Takto vypadá prostředí WYSIWYG editoru TinyMCE Jak formátovat strukturu stránky? Nadpis, podnadpis,

Více

PROGRAM QUICK READER JE VE FÁZI CERTIFIKACE PRO POUŽITÍ SE ZAŘÍZENÍM SAVVY EKG.

PROGRAM QUICK READER JE VE FÁZI CERTIFIKACE PRO POUŽITÍ SE ZAŘÍZENÍM SAVVY EKG. RYCHLÝ UŽIVATELSKÝ NÁVOD Savvy Quick Reader Personal CARDiac activity monitoring system - (PCARD) Osobní systém pro měření srdeční aktivity PCARD PROGRAM QUICK READER JE VE FÁZI CERTIFIKACE PRO POUŽITÍ

Více

Digitální kartografie 5

Digitální kartografie 5 Digitální kartografie 5 strana 2 Zadání atributů pro jednotlivé plochy při vytvoření nového souboru shapefile se nám automaticky vytvoří také databázový soubor *.dbf, který obsahuje atributovou tabulku

Více

VAR-NET INTEGRAL Manuál správce VNI 5.1 VAR-NET INTEGRAL. verze 0.2. Manuál správce VNI 5.1

VAR-NET INTEGRAL Manuál správce VNI 5.1 VAR-NET INTEGRAL. verze 0.2. Manuál správce VNI 5.1 Manuál správce VNI 5.1 verze 0.2 Manuál správce VNI 5.1 VARIANT plus, spol. s.r.o., U Obůrky 5, 674 01 TŘEBÍČ, tel.: 565 659 600 technická linka 565 659 655 (pracovní doba 7:30 15:00) www.variant.cz isb@variant.cz

Více

Manuál: Editace textů v textovém editoru SINPRO Úprava tabulek a internetových odkazů, řádkování

Manuál: Editace textů v textovém editoru SINPRO Úprava tabulek a internetových odkazů, řádkování Manuál: Editace textů v textovém editoru SINPRO Úprava tabulek a internetových odkazů, řádkování (nejen pro editaci STI v systému SINPRO, aktualizováno: 25. 6. 2015) v 2.0 Obsah TABULKY Úprava tabulek...

Více

Návod pro připojení telefonu Sony Ericsson P900 jako modem přes datový kabel a pro Windows 2000/XP

Návod pro připojení telefonu Sony Ericsson P900 jako modem přes datový kabel a pro Windows 2000/XP Návod pro připojení telefonu Sony Ericsson P900 jako modem přes datový kabel a pro Windows 2000/XP Nepřipojujte telefon k počítači, budete k tomu vyzváni později 1. Instalace softwaru Do počítače vložte

Více

Nastavení hardwarové konfigurace pro CPU 314C-2DP v programu SIMATIC Manager

Nastavení hardwarové konfigurace pro CPU 314C-2DP v programu SIMATIC Manager Nastavení hardwarové konfigurace pro CPU 314C-2DP v programu SIMATIC Manager Datová správa PLC probíhá v STEP7 pomocí Simatic Manager. Zde mohou být např. kopírovány programové moduly nebo dále upravovány.

Více

Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch

Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch 2 Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch Abstrakt Tento aplikační

Více

Uživatelská příručka Autor: Martin Fiala

Uživatelská příručka Autor: Martin Fiala 1 Uživatelská příručka Autor: Martin Fiala Vzhledem k tomu, že navržený program nefunguje samostatně a jedná se pouze o část implementovanou do pluginu BJ2NB vyvíjeného na Vysoké škole ekonomické, je nutné

Více

Číslo a název šablony III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Číslo a název šablony III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Číslo projektu CZ.1.07/1.5.00/34.0556 Číslo a název šablony III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT klíčové aktivity Označení materiálu VY_32_INOVACE_ST_IKT_01 Název školy Střední průmyslová

Více

Data Transfer Software

Data Transfer Software Data Transfer Software Obsah 1 ÚVOD... 3 1.1 TYPY PODPOROVANÝCH PŘÍSTROJŮ... 3 2 POUŽITÍ DATA TRANSFER... 4 2.1 SPUŠTĚNÍ DATA TRANSFER UTILITY... 4 2.2 PŘENOS SOUBORŮ... 4 2.2.1 Přenos z GIS přijímače

Více

Cvičení č. 1 Začátek práce s GIS

Cvičení č. 1 Začátek práce s GIS Cvičení č. 1 Začátek práce s GIS 1. Aplikace ArcMap Obrázek 1. Prázdné prostředí ArcMap 2. Přidání dat do prostředí ArcMap V levé části okna je umístěn Obsah (Table Of Contents), lze ho však přemístit

Více

Příloha 6. Palety nástrojů

Příloha 6. Palety nástrojů Příloha 6. Palety nástrojů Palety nástrojů v IDE poskytují zkrácení pro příkazy nabídky. Příkazy jsou rozděleny do několika palet nástrojů, které mohou být nezávisle přeskupeny nebo vloženy do plovoucích

Více

František Hudek. srpen 2012

František Hudek. srpen 2012 VY_32_INOVACE_FH19 Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, obor, okruh, téma Anotace František Hudek srpen 2012 8. ročník

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Práce se styly 1. Styl

Práce se styly 1. Styl Práce se styly 1. Styl Styl se používá, pokud chceme, aby dokument měl jednotný vzhled odstavců. Můžeme si nadefinovat styly pro různé úrovně nadpisů, jednotlivé popisy, charakteristiky a další odstavce.

Více

ROBOTIKA M3A 2018/2019 ING. VLADIMÍR VYHŇÁK

ROBOTIKA M3A 2018/2019 ING. VLADIMÍR VYHŇÁK ROBOTIKA M3A 2018/2019 ING. VLADIMÍR VYHŇÁK Návrh robotizovaných pracovišť Program ABB Robot Studio (dále jen ABB-RS) slouží k přípravě programů průmyslových robotů a jejich nejbližší periférie, k jejich

Více

Modul Zásoby IQ sestavy a jejich nastavení Materiál pro samostudium +1170

Modul Zásoby IQ sestavy a jejich nastavení Materiál pro samostudium +1170 Modul Zásoby IQ sestavy a jejich nastavení Materiál pro samostudium +1170 20.5.2014 Major Bohuslav, Ing. Datum tisku 20.5.2014 2 Modul Zásoby IQ sestavy a jejich nastavení Modul Zásoby IQ sestavy a jejich

Více

Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3)

Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3) VHAD - Návod k VHDL hadovi Obsah Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3)... 1 Příklad č. 2 Blikající LED... 3 Příklad č. 3 Časovač 1s... 4 Příklad č. 4 Had 8 x LED

Více

TIA Selection Tool manuál pro použití

TIA Selection Tool manuál pro použití TIA Selection Tool manuál pro použití Verze 1 duben 2012 Obsah: Postup rychlé konfigurace a exportu stanice Detailní popis aplikace Siemens s. r. Protection o. 2012. Všechna notice / práva Copyright vyhrazena.

Více

Cvičení 1 Logická hradla

Cvičení 1 Logická hradla Cvičení 1 Logická hradla Prvním příkladem pro seznámení s logickými obvody bude realizace několika hradel. Pomocí tohoto návodu je naprogramujeme do přípravku Digilent Spartan-3 a vyzkoušíme přímo v praxi.

Více

Návod pro programovatelný ovladač

Návod pro programovatelný ovladač Návod pro programovatelný ovladač Dálkáč Makro 6 Stars Začínáme Pro programování ovladače je zapotřebí mít připojený ovladač do USB portu počítače kabelem USB/mini USB a spustit SW na programování. SW

Více

Návod na použití univerzitní aplikace

Návod na použití univerzitní aplikace Page 1 of 19 Návod na použití univerzitní aplikace WHOIS viz jednotlivé kapitoly Stránky: Univerzita Karlova v Praze, Právnická fakulta Kurz: Návod pro použití aplikace Whois Kniha: Návod na použití univerzitní

Více

Úvod do fuzzy logiky a fuzzy regulátory

Úvod do fuzzy logiky a fuzzy regulátory Úvod do fuzzy logiky a fuzzy regulátory Tato publikace vznikla jako součást projektu CZ.04.1.03/3.2.15.2/0285 Inovace VŠ oborů strojního zaměření, který je spolufinancován evropským sociálním fondem a

Více

generi biotech nastavení real-time PCR cykleru Applied Biosystems 7300 a 7500 Fast Real-Time System (Applied Biosystems)

generi biotech nastavení real-time PCR cykleru Applied Biosystems 7300 a 7500 Fast Real-Time System (Applied Biosystems) Verze: 1.2 Datum poslední revize: 24.9.2014 nastavení real-time PCR cykleru Applied Biosystems 7300 a 7500 Fast Real-Time System (Applied Biosystems) generi biotech OBSAH 1. Nastavení nového teplotního

Více

Obr. P1.1 Zadání úlohy v MS Excel

Obr. P1.1 Zadání úlohy v MS Excel Přílohy Příloha 1 Řešení úlohy lineárního programování v MS Excel V této příloze si ukážeme, jak lze řešit úlohy lineárního programování pomocí tabulkového procesoru MS Excel. Výpočet budeme demonstrovat

Více

MIDAM Verze 1.1. Hlavní okno :

MIDAM Verze 1.1. Hlavní okno : MIDAM Verze 1.1 Podporuje moduly Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600, Ghc 2x. Umožňuje nastavení parametrů, sledování výstupních nebo vstupních

Více

Lokality a uživatelé

Lokality a uživatelé Administrátorský manuál TTC TELEKOMUNIKACE, s.r.o. Třebohostická 987/5 100 00 Praha 10 tel.: 234 052 111 fax.: 234 052 999 e-mail: ttc@ttc.cz http://www.ttc-telekomunikace.cz Datum vydání: 15.října 2013

Více

PowerPoint. v PowerPointu se pracuje se snímky, u kterých je možné si vybrat rozvržení obrazovky

PowerPoint. v PowerPointu se pracuje se snímky, u kterých je možné si vybrat rozvržení obrazovky PowerPoint Je součástí programového balíku Microsoft Office a slouží k vytváření prezentací. Prezentací se rozumí většinou graficky ztvárněné obrazovky, které mohou být doplněny o různé animace, triky

Více

Přílohy. Příloha 1. Obr. P1.1 Zadání úlohy v MS Excel

Přílohy. Příloha 1. Obr. P1.1 Zadání úlohy v MS Excel Přílohy Příloha 1 Řešení úlohy lineárního programování v MS Excel V této příloze si ukážeme, jak lze řešit úlohy lineárního programování pomocí tabulkového procesoru MS Excel 2007. Výpočet budeme demonstrovat

Více

GIS. Cvičení 3. Sběr vektorových dat v ArcGIS

GIS. Cvičení 3. Sběr vektorových dat v ArcGIS GIS Cvičení 3. Sběr vektorových dat v ArcGIS Vektorové modely v ArcGIS Jedním způsobem reprezentace geografických jevů je použití bodů, linií a polygonů. Tento způsob reprezentace se nazývá vektorový datový

Více

Základní popis Toolboxu MPSV nástroje

Základní popis Toolboxu MPSV nástroje Základní popis Toolboxu MPSV nástroje Nástroj XLS2DBF ze sady MPSV nástroje slouží pro zkonvertování souboru ve formátu XLS do formátu DBF. Nástroj umožňuje konvertovat buď vybraný list nebo listy ze sešitu

Více

Microsoft Word - Styly, obsah a další

Microsoft Word - Styly, obsah a další Microsoft Word - Styly, obsah a další Definice uživatelských stylů Nový - tzv. uživatelský styl - se vytváří pomocí panelu Styly a formátování stiskem tlačítka Nový styl. Po stisknutí tlačítka se objeví

Více

Rozvodnice design verze 3.1

Rozvodnice design verze 3.1 Rozvodnice design verze 3.1 (rozvodnice Opale, Pragma a Kaedra) Leden 2007 1 Úvod Program Rozvodnice design je určen pro rychlý návrh a specifikaci rozvodnic MiniOpale, MiniPragma, Pragma a Kaedra Popis

Více

nastavení real-time PCR cykléru CFX 96 Real-Time System

nastavení real-time PCR cykléru CFX 96 Real-Time System nastavení real-time PCR cykléru CFX 96 Real-Time System (BioRad) generi biotech OBSAH 1. Spuštění již existujícího či nastavení nového teplotního profilu...3 1.1. Spuštění již uloženého teplotního profilu...3

Více

Návod k softwaru. Získání licence. vojtech.vesely@catania.cz www.catania.cz

Návod k softwaru. Získání licence. vojtech.vesely@catania.cz www.catania.cz Získání licence Ze stránky si ze sekce Software stáhněte Aplikaci k získání licence. Tuto aplikaci není třeba instalovat, stačí rozbalit zazipovaný soubor. Za cenu 300,- Kč bez DPH má uživatel nárok na

Více

Návod ke cvičení předmětu BPGA ControlLogix

Návod ke cvičení předmětu BPGA ControlLogix Návod ke cvičení předmětu BPGA ControlLogix ControlLogix Automat ControlLogix je výrobkem firmy Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce www.rockwellautomation.com.

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Pro definici pracovní doby nejdříve zvolíme, zda chceme použít pouze informační

Pro definici pracovní doby nejdříve zvolíme, zda chceme použít pouze informační 1. 1 V programu Medicus Komfort a Medicus Profesionál je možné objednávat pacienty v nově přepracovaném objednávacím kalendáři. Volba Objednávky zpřístupňuje možnosti objednávání pacientů, nastavení pracovní

Více

Free and open source v geoinformatice. Příloha 1 - Praktické cvičení QGIS

Free and open source v geoinformatice. Příloha 1 - Praktické cvičení QGIS Free and open source v geoinformatice Příloha 1 - Praktické cvičení QGIS Data: vrstva okresů z ArcČR v 3.1 (data ke stažení na http://www.geoinformatics.upol.cz/foss/) Krok 1: Zapneme aplikaci QGIS a prozkoumáme

Více

Řazení, filtrování a seskupování, vlastní zobrazení

Řazení, filtrování a seskupování, vlastní zobrazení Řazení, filtrování a seskupování, vlastní zobrazení Řazení Jedná se o možnosti řazení úkolů a zdrojů v zobrazeních dle již definovaných filtrů, nebo vytvoření vlastního filtru. Jde o jednorázovou akci,

Více

František Hudek. červenec 2012

František Hudek. červenec 2012 VY_32_INOVACE_FH16 Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, obor, okruh, téma Anotace František Hudek červenec 2012 8.

Více

Vývojové prostředí,průvodce novou aplikací

Vývojové prostředí,průvodce novou aplikací Vývojové prostředí,průvodce novou aplikací Realizace praktických úloh zaměřených na dovednosti v oblastech: Vývojové prostředí programu Control Web 2000 Vytvoření jednoduchého technologického schéma pomocí

Více

28. SafetyPLC pro sběrnici EtherCAT

28. SafetyPLC pro sběrnici EtherCAT 28. 28.1 TwinCAT tvorba SafetyPLC 28.1.1 Zdrojové soubory ke stažení TwinCAT2 zdarma ke stažení po registraci http://www.beckhoff.com/tcatweb/twincat_download_e.aspx Nejnovější verze XML souboru periferií

Více

Designer je nástroj pro navrhování elektronických obvodů s mikrokontrolery řady 8051 a Microchip PIC.

Designer je nástroj pro navrhování elektronických obvodů s mikrokontrolery řady 8051 a Microchip PIC. Designer je nástroj pro navrhování elektronických obvodů s mikrokontrolery řady 8051 a Microchip PIC. 1. Umožňuje na ploše počítače vytvořit aplikaci a ladit pro ni program a komunikovat s ní jako se skutečným

Více

Zobrazení zdrojových dat u krabicového grafu

Zobrazení zdrojových dat u krabicového grafu StatSoft Zobrazení zdrojových dat u krabicového grafu Krabicový graf zobrazuje informace o poloze i variabilitě dat. Zachycujeme na něm různé charakteristiky a někdy může být žádoucí zobrazit si v grafu

Více