Cvičení 1 Logická hradla

Rozměr: px
Začít zobrazení ze stránky:

Download "Cvičení 1 Logická hradla"

Transkript

1 Cvičení 1 Logická hradla Prvním příkladem pro seznámení s logickými obvody bude realizace několika hradel. Pomocí tohoto návodu je naprogramujeme do přípravku Digilent Spartan-3 a vyzkoušíme přímo v praxi. Postupujeme krok za krokem podle bodů, cílem je fungující aplikace v přípravku. Zadání: Do přípravku naprogramujeme funkce čtyř 2vstupových hradel: AND, OR, NAND a XOR. Jejich funkci popisuje následující čtveřice tabulek, SW7 až SW0 jsou vstupy hradel, LED7 až LED4 jsou jejich výstupy. Nula znamená neaktivní stav, jednička aktivitu. Jsou-li tedy např. vstupy SW7 a SW6 aktivní u hradla AND, je aktivní i výstup. AND NAND OR XOR SW7 SW6 LED7 SW5 SW4 LED6 SW3 SW2 LED5 SW1 SW0 LED Spuštění vývojového prostředí Spustíme počítač v laboratoři a přihlásíme se do Windows sítě podle instrukcí cvičícího V domácím adresáři (připojeno jako disk H) si založíme v kořeni adresář BI-SAP. o Důležité! V adresářové cestě se nikde nesmějí vyskytovatt mezery. Raději ani nepoužívejte diakritiku. Spustíme Project Navigator: Start Programy Xinx ISE 9.2i Project Navigator Popis základních částí plochy návrhového systému:

2 V nabídce File vybereme příkaz New Project Okna průvodce New Project vyplníme podle vzoru určujeme tak jméno projektu, jeho umístění a typ programovatelného obvodu, který použijeme. Dejte si pozor na správný typ Package, zde se často chybuje a zapojení pak nebude zdánlivě funkční! Poslední tři okna průvodce jen přeskočíme pomocí tlačítka Next

3 Používejte jméno projektu podle tohoto příkladu gates a práci si zálohujte, nic nemažte v průběhu semestru může být vaše práce hodnocena (viz způsoby zálohování)

4 Výsledek po vytvoření projektu:

5 3. Vytvoření nového schématu A) Vytvoření prázdného schématu: V prázdném projektu vytvoříme soubor se schématem našeho zapojení: V nabídce Project vybereme příkaz New source Okno New Source vyplníme podle vzoru: Zvolíme typ souboru Schematic a název schématu gates

6 Výsledek po vytvoření prázdného schématu:

7 V seznamu Sources v našem projektu přibude nové schéma gates Pravá část plochy programu se změní na editor schémat. V levé horní části plochy je nyní aktivní záložka Symbols. Tato záložka je přítomna pouze v případě, že je otevřené okno se schématem. Mezi záložkami se lze libovolně přepínat. V levé dolní části plochy je nyní aktivní záložka Options. Tato záložka je přítomna pouze v případě, že je otevřené okno se schématem. S pomocí záložky Symbols a Options lze kreslit a ovlivňovat již nakreslené schéma. V případě potřeby je možné kdykoliv zvolit záložku Sources a Processes sloužící k manipulaci s vytvořeným projektem.

8 Pro pohodlnější práci si kreslící plochu zvětšíme pomocí View, Zoom, In. Nyní začneme kreslit schéma zadané úlohy. B) Vložení hradel do schématu Pomocí záložky Symbols zvolíme správné hradlo. Zkontrolujeme, zda máme zvoleno v položce Categories All Symbols, jinak bychom vybírali součástku pouze ze zvolené knihovny, nikoliv ze všech dostupných. Hradlo vybereme pomoci položky Symbol Name Filter, kde postupně vpisujeme jméno hradla a to takto: AND2 = 2vstupové hradlo AND NAND2 = 2vstupové hradlo NAND OR2 = 2vstupové hradlo OR XOR2 = 2vstupové hradlo XOR Jiná hradla mají například tyto názvy: AND3 = 3vstupové hradlo AND AND3B1 = 3vstupové hradlo AND s jedním negovaným vstupem OR8 = 8vstupové hradlo OR atd. Podobně lze postupovat pro další hradla jako je XOR, OR, NOR, INV (negace). Po nalezení vhodné součástky je nutné součástku označit, a to v položce Symbols. Pak již stačí součástku umístit do schématu. Změnu orientace součástky je možné v záložce Symbols a položce Orientation. Kreslení jednoho typu součástky ukončuje klávesa ESC. Příklad vložení hradla XOR a OR:

9 Upravit C) Propojení součástek pomocí vodičů Jednotlivé součástky spojíme vodiči použijeme k tomu nabídku Add Wire nebo zvolíme ikonu. Stačí klepnout na začátek vodiče na výstupu nebo vstupu hradla a tažením myší ho vést k cíli. Vstupy a výstupy připojené přímo na port (vstup a výstup ze schématu) není nutné opatřovat vodiči. Port je možné připojit přímo ke vstupu (výstupu) hradla.

10 Kreslení vodiče lze ukončit klávesou ESC. V případě, že jste nakreslili čáru špatně, lze ji označit (nezapomeňtee předtím klávesou ESC zrušit aktuální kreslící režim) a následně smazat. Pokud chceme odstranit pouze část vodiče, v záložce Options vybereme volbu Select the line segment Vodič lze přejmenovat tím, že jej vybereme a s pomocí pravého tlačítka myši zvolíme položku Rename selected net. Pozor! Vodiče se stejným jménem jsou propojené. Toto bývá častá chyba, kdy jeden vodič je rozdělen na dva se stejným jménem. Tuto vlastnost lze použit pro propojování jednotlivých částí schématu a tím schéma zpřehlednit. Pozor! Nezapomeňte si svůj návrh během své práce ukládat. Předejdete tak zbytečným nepříjemnostem. D) Přidání portů Porty slouží k připojení schématu s hierarchicky nadřazeným schématem, popřípadě jsou připojeny k vývodům samotného čipu. Vybereme nabídku Add I/O marker nebo zvolíme ikonu. Vstupní nebo výstupní smysl je určen automaticky. Jména portů jsou automaticky zvolena podle názvu vodiče, ke kterým je port připojen. Název lze změnit dvojitým poklepáním na značku portu. Otevře se okno Object Properties, v poli Name přepíšeme text na námi zvolený. Vizte následující obrázek:

11 Výsledné schéma vypadá takto:

12 E) Kontrola správnosti navrženého schématu V nabídce Tools vybereme položku Check Schematic. Pokud vše proběhloo v pořádku, ve spodní časti okna v záložce Console se objeví informace o bezchybné kontrole.

13 Pokud ale například pojmenujete 2 vodiče stejným jménem, objeví se informace o chybě. Chybný vodič pak naleznete pomocí nástroje vyhledávání, který najdete v nabídce Edit Find nebo pomocí ikony. Pro vyhledávání vodičů zvolte What = Nets. Chybu lze také zvýraznit klepnutím na chybovou hlášku v konzoli (na jméno souboru).

14 4. Vytvoření nového schématu se začleněním předchozího schématu Začlenění vytvořeného schématu do nového schématu si vyzkoušíme až v dalším příkladu. Pro tento jednoduchý příklad si vystačíme pouze s jednou úrovní schématu. 5. Simulace chování obvodu

15 Simulace je nedílnou součástí návrhu a je důležité ji provést. Pro takto jednoduchý příklad simulaci přeskočíme. Simulace slouží k otestování správného chování námi navrženého obvodu. 6. Propojení vývodů čipu se schématem Chceme-li nahrát náš obvod do přípravku, musíme definovat, jak se mají vývody ze schématu připojit na vývody našeho FPGA obvodu. Protože periferie (přepínače, tlačítka, LED) jsou na přípravku již napevno připojeny k vývodům FPGA obvodu, musíme hodnoty vývodů volit podle periferií, které chceme využívat. Jednotlivé perferie mají přímo na desce uvedeno v závorkách číslo pinu. Například přepínač SW7 je připojen k pinu K13 programovatelného obvodu FPGA. Souboru, ve kterém se ukládají informace o propojení schématu s vývody obvodu, se říká Implementation Constraints File. Tento soubor vytvoříme pomocí nabídky Project New Source. Okno New Source vyplníme podle vzoru: Zvolíme typ souboru Implementation Constraints File a název souboru gates.

16 Ostatní okna potvrdíme Next a Finish. Pokud se v záložce Sources neobjeví položka gates.ucf, máme patrně zvolen režim zobrazování souborů pro simulaci. Upravme v záložce Sources položku Source for na Synthesis/Implementation. Nyní v záložce Sources vybereme položku gates.ucf. V záložce Processes rozbalíme položku User Constraints a 2x poklepemee myší na položce Assign Package Pins.

17 Objeví se okno umožňující přiřadit jednotlivé porty schématu k vývodům obvodu FPGA.

18 Čísla vývodů obvodu FPGA se vpisují do sloupečku Loc (Location). Nyní si určíme, kam které vývody připojíme: Vstupům A, B, C, D, E, F, G, H přiřadíme přepínače SW7, SW6, SW5, SW4, SW3, SW2, SW1, SW0, tedy piny FPGA budou K13, K14, J13, J14, H13, H14, G12 a F12 (čísla vývodů-pinů jsou uvedena v závorkách přímo na přípravku). Výstupům P, Q, R, S přiřadíme LED7, LED6, LED5, LED4, tedy vývody FPGA budou P11, P12, N12 a P13. Po vyplnění soubor uložíme a okno zavřeme. Při dotazu na Bus Delimiter zvolíme XST Default. Vyplněná tabulka přiřazení vývodů schématu s vývody obvodu FPGA:

19 7. Překlad návrhu (Implementace) Implemetnace slouží k přeložení schématu do formy vhodné k naprogramování FPGA obvodu. Nejprve v záložce Sources zkontrolujeme, která položka je označena jako vrchol (TOP). V našem případě schéma, které je v hierarchii nejvýše. Tato položka je označena ikonkou tvořenou třemi čtverci, z nichž jeden je zelený. Pokud tomu tak není, pomocí pravého tlačítka vybereme tuto položku a zvolíme Set as Top Module. V záložce Sources vybereme položku označenou jako TOP.

20 V záložce Processes vidíme několik možností. Pro vytvoření souboru vhodného pro naprogramování obvodu FPGA je nutné postupně projít všechny kroky (Synthesize - XST, Implement Design, Generate Programming File) Tyto kroky můžeme pouštět postupně, popřípadě můžeme pustit vše najednou. 2x poklepeme na položce Generate Programming File a tím docílíme toho, že se provede vše najednou. Při hledaní chyb v návrhu doporučují pokračovat postupně a v případě, že se v záložce Console neobjeví Error, pokračovat dalším krokem.

21 Jednotlivé kroky lze pouštět opakovaně, a to s pomocí pravého tlačítka a patřičné volby (ReRun). Aktuální průběh Implementace lze sledovat v záložce Console. Pokud vše proběhlo v pořádku, jsou jednotlivé kroky implementacee označeny zeleným kolečkem s fajfkou.

22 Informace o možných doporučení a úpravách jsou označeny žlutým trojúhelníkem s vykřičníkem. Červeným kolečkem s křížkem jsou označeny kroky, které neproběhly. Ty jsou důsledkem chyb v návrhu. Pokud je překlad bez chyb, můžeme přejít k naprogramování obvodu. Připojte přípravek k napájecímu zdroji. Programovací kabel připojte k programovacímu konektoru. Zkontrolujte, zda zkratovací propojky J8 a JP1 jsou zkratovány tak, jak je zobrazeno na tomto obrázku: Rozbalte nabídku Generate Programming File v Processes, poklepejte na Configure Device (impact). Okno, které se objeví, odsouhlaste (Finish). Objeví se dva chipy a dialog pro otevření souboru. Zde vyberte Váš bitstream (.bit).

23 Další dialog přeskočte (zvolte Bypass).

24 Klikněte levým tlačítkem kamkoliv doprázdna (bug v ISE). Pravým tlačítkem klikněte na levý chip a zvolte Programm. Zkontrolujte, aby checkbox Verify nebyl zaškrtnut. Klikněte OK

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Vytvoření projektu pro desku DE2 v Altera Quartus Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Obsah Vytvoření projektu pro desku DE2 v Altera Quartus...

Více

Použití schematického editoru pro návrh kombinační logiky. Pavel Lafata

Použití schematického editoru pro návrh kombinační logiky. Pavel Lafata Použití schematického editoru pro návrh kombinační logiky Pavel Lafata Autor: Pavel Lafata Název díla: Použití schematického editoru pro návrh kombinační logiky Zpracoval(a): České vysoké učení technické

Více

Jak vložit položku do GDSN

Jak vložit položku do GDSN Jak vložit položku do GDSN Tento návod popisuje postup vložení typické hierarchie balení (dále pouze hierarchie) do GDSN. Jedná se o sáček rýže Basmati s GTINem 8594182500257 (spotřebitelská jednotka)

Více

Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2

Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2 Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2 Nový projekt vytvoříme volbou New Project Wizard: Introduction z menu File, po které se objeví úvodní okno (obr. 1).

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Návod na instalaci AutoCAD Architecture Ing. Zbyněk Svoboda

Návod na instalaci AutoCAD Architecture Ing. Zbyněk Svoboda S třední škola stavební Jihlava Návod na instalaci AutoCAD Architecture 2017 výukového SW firmy Autodesk Ing. Zbyněk Svoboda 2017 1 V prohlížeči zvolit - www.autodesk.com 2 3 4 5 6 Pokud se objeví, zvolíme

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Microsoft Office. Word hromadná korespondence

Microsoft Office. Word hromadná korespondence Microsoft Office Word hromadná korespondence Karel Dvořák 2011 Hromadná korespondence Hromadná korespondence je způsob, jak určitý jeden dokument propojit s tabulkou obsahující více záznamů. Tímto propojením

Více

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9 Analýza elektronických obvodů programem PSpice 9 3 Editor Capture U editoru Capture závisí nabídka hlavní lišty na tom, které okno pracovní plochy je aktivované. V dalším textu budou popsány jen ty položky,

Více

POZOR!!! INSTALACE POD WINDOWS 200 / XP / VISTA PROBÍHÁ VE DVOU ETAPÁCH A JE NUTNÉ DOKON

POZOR!!! INSTALACE POD WINDOWS 200 / XP / VISTA PROBÍHÁ VE DVOU ETAPÁCH A JE NUTNÉ DOKON Program SK2 Připojení adaptérusk2 k počítači Propojte svůj počítač pomocí přiloženého propojovacího USB kabelu s adaptérem SK2. SK2 v prostředí Windows 2000 - XP - Vista - po propojení počítače s adaptérem

Více

Instalace SW VIS z internetu - Nová instalace. Spuštění instalačního programu. Podrobný popis nové instalace SW VIS

Instalace SW VIS z internetu - Nová instalace. Spuštění instalačního programu. Podrobný popis nové instalace SW VIS Instalace SW VIS z internetu - Nová instalace Novou instalací SW VIS rozumíme instalaci do adresáře, která doposud neobsahuje žádnou starší instalaci programu VIS. V naprosté většině případů se jedná o

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Instalace SW VIS z internetu - Opakovaná instalace, instalace upgrade

Instalace SW VIS z internetu - Opakovaná instalace, instalace upgrade Instalace SW VIS z internetu - Opakovaná instalace, instalace upgrade Opakovanou instalací SW VIS rozumíme instalaci do adresáře, který již obsahuje starší instalaci programu VIS. Většinou se provádí ze

Více

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem.

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem. 83 14. (Pouze u verze Mathcad Professional) je prostředí pro přehlednou integraci a propojování aplikací a zdrojů dat. Umožní vytvořit složitý výpočtový systém a řídit tok dat mezi komponentami tohoto

Více

Simulace v Quartus II 13.0sp1

Simulace v Quartus II 13.0sp1 Simulace v Quartus II 13.0sp1 Richard Šusta, Katedra řídicí techniky ČVUT-FEL v Praze V Quartus II 13.0sp1 postup simulace mnohem jednodušší než v předchozích verzích. Předpokládejme, že máte vytvořený

Více

Autodesk Inventor 8 - výkresová dokumentace, nastavení

Autodesk Inventor 8 - výkresová dokumentace, nastavení Autodesk Inventor 8 - výkresová dokumentace, nastavení Obrázek 1: Náčrt čepu Doporučuji založit si vlastní kótovací styl pomocí tlačítka Nový. Nový styl vznikne na základě předchozího aktivního stylu.

Více

ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY

ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY Spusťte BIDS - z menu vyberte File/New/Project a vytvořte nový Analysis Services Project typu Bussines Inteligence Project - doplňte jméno projektu

Více

Pokladna CHD - Jak nainstalovat USB ovladač CHD7

Pokladna CHD - Jak nainstalovat USB ovladač CHD7 Pokladna CHD - Jak nainstalovat USB ovladač CHD7 Následující dokument popisuje instalaci ovladače pro tyto OS: 1) Windows 10 2) Windows 7 3) Windows 8 Soubory s ovladači jsou stejné pro všechny výše uvedené

Více

Modul Zásoby IQ sestavy a jejich nastavení Materiál pro samostudium +1170

Modul Zásoby IQ sestavy a jejich nastavení Materiál pro samostudium +1170 Modul Zásoby IQ sestavy a jejich nastavení Materiál pro samostudium +1170 20.5.2014 Major Bohuslav, Ing. Datum tisku 20.5.2014 2 Modul Zásoby IQ sestavy a jejich nastavení Modul Zásoby IQ sestavy a jejich

Více

Neřízené usměrňovače reálné vlastnosti

Neřízené usměrňovače reálné vlastnosti Počítačové cvičení BNEZ 1 Neřízené usměrňovače reálné vlastnosti Úkol 1: Úkol 2: Úkol 3: Úkol 4: Úkol 5: Pomocí programu OrCAD Capture zobrazte voltampérovou charakteristiku diody 1N4007 pro rozsah napětí

Více

Uživatelská příručka Autor: Martin Fiala

Uživatelská příručka Autor: Martin Fiala 1 Uživatelská příručka Autor: Martin Fiala Vzhledem k tomu, že navržený program nefunguje samostatně a jedná se pouze o část implementovanou do pluginu BJ2NB vyvíjeného na Vysoké škole ekonomické, je nutné

Více

Herní klávesnice-návod k použití

Herní klávesnice-návod k použití Herní klávesnice-návod k použití Systémové požadavky: Windows XP/Windows Vista/Windows 7 PC s vestavěným USB Portem (1.1/2.0) jednotka CD-ROM 50 mb volného místa na pevném disku Instalace: Nejprve připojte

Více

Popis vývodů desek, jejich zapojování a spárování robota

Popis vývodů desek, jejich zapojování a spárování robota Popis vývodů desek, jejich zapojování a spárování robota ----------------------------------------------------------------------------------------------------------------- Popis desky procesoru, LED, tlačítek

Více

NÁVOD K POUŽITÍ. IP kamerový systém.

NÁVOD K POUŽITÍ. IP kamerový systém. NÁVOD K POUŽITÍ IP kamerový systém www.slkamery.cz 1 1. Práce se systémem CMS 1. Instalace aplikace Aplikaci CMS nainstalujeme z přiloženého CD. Pokud není CD součástí balení, stáhneme instalační soubory

Více

MANUÁL administrátora elektronické spisové služby

MANUÁL administrátora elektronické spisové služby MANUÁL administrátora elektronické spisové služby Administrace obálek a sestav (NÁVRHÁŘ) 1 PilsCom, s.r.o. OBSAH 1. NÁVRHÁŘ OBECNĚ... 3 2. NASTAVENÍ MS INTERNET EXPLORERU... 4 3. SPUŠTĚNÍ NÁVRHÁŘE OBÁLKY...

Více

EDI komunikace Postup a nastavení +1361

EDI komunikace Postup a nastavení +1361 EDI komunikace Postup a nastavení +1361 11.3.2014 Major Bohuslav, Ing. Datum tisku 11.3.2014 2 EDI komunikace EDI komunikace Obsah Úvod... 3 Skladové karty položky... 3 Nastavení EAN kódů pro položky...

Více

Konfigurace PPPoE připojení v OS Microsoft Windows XP

Konfigurace PPPoE připojení v OS Microsoft Windows XP Konfigurace PPPoE připojení v OS Microsoft Windows XP Předmluva Tento návod slouží k nastavení připojení k síti Internet prostřednictvím služby Internet ONE, která využívá připojení pomocí protokolu PPPoE.

Více

B. TVORBA DOKUMENTACE NA PC- EAGLE

B. TVORBA DOKUMENTACE NA PC- EAGLE B. TVORBA DOKUMENTACE NA PC- EAGLE Návrhový systém EAGLE se skládá ze tří modulů, které nám umožní zpracovat základní dokumentaci k elektronickému obvodu: 1. návrh schématu - schématický editor - SCH E,

Více

INSTALACE. programu WinDUO. pod Windows 7 / Windows Vista. ČAPEK-WinDUO, s.r.o.

INSTALACE. programu WinDUO. pod Windows 7 / Windows Vista. ČAPEK-WinDUO, s.r.o. ČAPEK-WinDUO, s.r.o. INSTALACE programu WinDUO pod Windows 7 / Windows Vista 1) Instalace programu WinDUO 2) Nastavení práv 3) První spuštění 4) Doporučení 5) Co Vás při instalaci mohlo potkat 6) Archivace

Více

Obsah. při vyšetření pacienta. GDT souboru do programu COSMED Omnia GDT souboru z programu COSMED Omnia a zobrazení výsledků měření v programu MEDICUS

Obsah. při vyšetření pacienta. GDT souboru do programu COSMED Omnia GDT souboru z programu COSMED Omnia a zobrazení výsledků měření v programu MEDICUS Obsah Napojení...3 programu COSMED Omnia Nastavení...3 MEDICUS Přidání...3 externího programu COSMED Omnia Přidání...4 ikony do panelu nástrojů Nastavení...5 COSMED Omnia Postup...5 při vyšetření pacienta

Více

Vzorce. Suma. Tvorba vzorce napsáním. Tvorba vzorců průvodcem

Vzorce. Suma. Tvorba vzorce napsáním. Tvorba vzorců průvodcem Vzorce Vzorce v Excelu lze zadávat dvěma způsoby. Buď známe přesný zápis vzorce a přímo ho do buňky napíšeme, nebo použijeme takzvaného průvodce při tvorbě vzorce (zejména u složitějších funkcí). Tvorba

Více

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna CAD library Aplikace CAD library je určena pro zobrazení schémat a pohledů na přístroje firmy Schneider Electric (obsahuje také knihovnu elektrotechnických značek pro všeobecné použití). Zobrazené výkresy

Více

Instalace SQL 2008 R2 na Windows 7 (64bit)

Instalace SQL 2008 R2 na Windows 7 (64bit) Instalace SQL 2008 R2 na Windows 7 (64bit) Pokud máte ještě nainstalovaný MS SQL server Express 2005, odinstalujte jej, předtím nezapomeňte zálohovat databázi. Kromě Windows 7 je instalace určena také

Více

Návod pro programovatelný ovladač

Návod pro programovatelný ovladač Návod pro programovatelný ovladač Dálkáč Makro 6 Stars Začínáme Pro programování ovladače je zapotřebí mít připojený ovladač do USB portu počítače kabelem USB/mini USB a spustit SW na programování. SW

Více

Stručný Průvodce (Čeština)

Stručný Průvodce (Čeština) Stručný Průvodce (Čeština) Obsah balení 1. Skener 2. USB kabel 3. Stručný průvodce 4. Instalační CD-ROM Instalace a nastavení skeneru Krok 1. Připojení skeneru k počítači Zkontrolujte zda je skener VYPNUTÝ.

Více

Stručný postup k použití programu PL7 Junior (programování TSX Micro)

Stručný postup k použití programu PL7 Junior (programování TSX Micro) Stručný postup k použití programu PL7 Junior (programování TSX Micro) 1. Připojení PLC TSX Micro k počítači Kabel, trvale zapojený ke konektoru TER PLC, je nutné zapojit na sériový port PC. 2. Spuštění

Více

Uživatelská příručka k portálu WWW.DIAGNOSTIK.CZ. Společnost pro kvalitu školy, o.s. část druhá. administrace testování na portálu

Uživatelská příručka k portálu WWW.DIAGNOSTIK.CZ. Společnost pro kvalitu školy, o.s. část druhá. administrace testování na portálu Uživatelská příručka k portálu WWW.DIAGNOSTIK.CZ Společnost pro kvalitu školy, o.s. část druhá administrace testování na portálu Ostrava 2012 1 Obsah Úvod:... 3 1. Výběr kampaně výběr Termínu testování...

Více

PREZENTACE 1.22 HYPERTEXTOVÉ ODKAZY

PREZENTACE 1.22 HYPERTEXTOVÉ ODKAZY 1.22 HYPERTEXTOVÉ ODKAZY Při práci s prezentací bývá v některých případech vhodné vzájemně propojit snímky prezentace tak, abychom se mohli pohybovat nejen o snímek vpřed a vzad, ale i tzv. na přeskáčku.

Více

Pro definici pracovní doby nejdříve zvolíme, zda chceme použít pouze informační

Pro definici pracovní doby nejdříve zvolíme, zda chceme použít pouze informační 1. 1 V programu Medicus Komfort a Medicus Profesionál je možné objednávat pacienty v nově přepracovaném objednávacím kalendáři. Volba Objednávky zpřístupňuje možnosti objednávání pacientů, nastavení pracovní

Více

Návod ke cvičení předmětu BPGA ControlLogix

Návod ke cvičení předmětu BPGA ControlLogix Návod ke cvičení předmětu BPGA ControlLogix ControlLogix Automat ControlLogix je výrobkem firmy Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce www.rockwellautomation.com.

Více

Tisk výkresu. Projekt SIPVZ 2006 Řešené příklady AutoCADu Autor: ing. Laďka Krejčí

Tisk výkresu. Projekt SIPVZ 2006 Řešené příklady AutoCADu Autor: ing. Laďka Krejčí Tisk výkresu Projekt SIPVZ 2006 Řešené příklady AutoCADu Autor: ing. Laďka Krejčí 2 Obsah úlohy Procvičíte práci se soubory práci s DesignCentrem přenesení bloku z Design Centra do výkresu editace atributů

Více

Instrukce k provádění QA kontrol pro překladatele a korektory

Instrukce k provádění QA kontrol pro překladatele a korektory Instrukce k provádění QA kontrol pro překladatele a korektory Tento návod je určen překladatelům a korektorům společnosti ZELENKA Czech Republic s.r.o. Má za úkol jednoduše a jasně popsat kdy a jakým způsobem

Více

TMU. USB teploměr. teploměr s rozhraním USB. měření teplot od -55 C do +125 C. 26. května 2006 w w w. p a p o u c h. c o m 0188.00.

TMU. USB teploměr. teploměr s rozhraním USB. měření teplot od -55 C do +125 C. 26. května 2006 w w w. p a p o u c h. c o m 0188.00. USB teploměr teploměr s rozhraním USB měření teplot od -55 C do +125 C 26. května 2006 w w w. p a p o u c h. c o m 0188.00.00 Katalogový list Vytvořen: 30.5.2005 Poslední aktualizace: 26.5.2006 8:34 Počet

Více

NÁVOD K POUŽITÍ. IP kamerový systém.

NÁVOD K POUŽITÍ. IP kamerový systém. NÁVOD K POUŽITÍ IP kamerový systém www.slkamery.cz 1 Aplikace pro tablety a telefony: Kompletní obsah CD se SW pro instalaci v PC můžete stáhnout zde: http://uloz.to/xaufpwhg/swkam-rar 2 1. Nastavení kamery

Více

Value - Powerline ethernet adaptér, 85Mbps Katalogové číslo: 21.99.1406

Value - Powerline ethernet adaptér, 85Mbps Katalogové číslo: 21.99.1406 Value - Powerline ethernet adaptér, 85Mbps Katalogové číslo: 21.99.1406 Vlastnosti: Kompatibilní s HomePlug 1.0 Turbo Kompatibilní se specifikací IEEE 802.3 10BASE-T Ethernet, IEEE 802.3u 100BASE-TX ANSI/IEEE

Více

Rocrail. Nejprve: Obecný úvod. Instalace

Rocrail. Nejprve: Obecný úvod. Instalace Rocrail Nejprve: Používám operační systém Windows XP a digitální systém od DCCKoleje (Hcentrála + GenLi USB), proto bude vše popsáno pro tyto systémy. Pro jiné systémy se mohou některé postupy lišit. Obecný

Více

Uživatelská příručka pro ředitele škol

Uživatelská příručka pro ředitele škol Národní šetření výsledků žáků v počátečním vzdělávání Uživatelská příručka pro ředitele škol Název souboru: Modul IDM - Uživatelská příručka pro ředitele škol V2.doc Strana 1 Obsah 1 Úvod... 3 2 Přihlášení

Více

Lokality a uživatelé

Lokality a uživatelé Administrátorský manuál TTC TELEKOMUNIKACE, s.r.o. Třebohostická 987/5 100 00 Praha 10 tel.: 234 052 111 fax.: 234 052 999 e-mail: ttc@ttc.cz http://www.ttc-telekomunikace.cz Datum vydání: 15.října 2013

Více

Vytvoření tiskové sestavy kalibrace

Vytvoření tiskové sestavy kalibrace Tento návod popisuje jak v prostředí WinQbase vytvoříme novou tiskovou sestavu, kterou bude možno použít pro tisk kalibračních protokolů. 1. Vytvoření nového typu sestavy. V prvním kroku vytvoříme nový

Více

Návod pro použití Plug-in SMS Operátor

Návod pro použití Plug-in SMS Operátor Verze: 1.06 Strana: 1 / 17 Návod pro použití Plug-in SMS Operátor 1. Co to je Plug-in modul SMS Operátor? Plug-in modul (zásuvkový modul) do aplikace MS Outlook slouží k rozšíření možností aplikace MS

Více

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná.

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná. Interface LPG / CNG Bluetooth ProjektTECH Instrukce k instalaci a konfiguraci zařízení v1.0 cz U rozhraní bluetooth není instalace ovladače potřebná. (párovací kód: 1234) 1. Požadavky na hardware : - PC

Více

Občas je potřeba nakreslit příčky, které nejsou připojeny k obvodovým stěnám, např. tak, jako na následujícím obrázku:

Občas je potřeba nakreslit příčky, které nejsou připojeny k obvodovým stěnám, např. tak, jako na následujícím obrázku: Příčky nepřipojené Občas je potřeba nakreslit příčky, které nejsou připojeny k obvodovým stěnám, např. tak, jako na následujícím obrázku: Lze využít dva způsoby kreslení. Nejjednodušší je příčky nakreslit

Více

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam).

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam). IMPORT CERTIFIKÁTŮ Prvním krokem je vygenerování a import kořenového a uživatelského certifikátu obdobně jako u sítě Eduroam. Pokud již máte certifikáty importované z Eduroam, tuto část návodu vynechte.

Více

AUTOMATICKÉ ZÁLOHOVÁNÍ DATABÁZE PRO SQL Express 2005

AUTOMATICKÉ ZÁLOHOVÁNÍ DATABÁZE PRO SQL Express 2005 AUTOMATICKÉ ZÁLOHOVÁNÍ DATABÁZE PRO SQL Express 2005 POZOR! Celý postup je nutné aplikovat přímo na počítači, kde je nainstalován SQL server! 1. Vytvoření souboru s SQL scriptem 1.1. Vytvořte textový soubor

Více

Prohlížení a editace externích předmětů

Prohlížení a editace externích předmětů Prohlížení a editace externích předmětů 1. Spuštění modulu Externí předměty 2. Popis prostředí a ovládacích prvků 2.1. Rozbalovací seznamy 2.3. Seznamy 2.3.1. Definice předmětů 2.3.2. Vypsané předměty

Více

Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN

Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Abstrakt Tento aplikační postup je ukázkou jak

Více

Ing. Michal Martin. Spojení PLC CLICK s NA-9289

Ing. Michal Martin. Spojení PLC CLICK s NA-9289 Propojení PLC CLICK s NA-9289 Autor: Ing. Michal Martin Copyright TECON spol. s r. o., Vrchlabí, Česká republika Tato publikace prošla jen částečnou jazykovou korekturou. Tato publikace vznikla na základě

Více

Stručný Průvodce (Čeština)

Stručný Průvodce (Čeština) Stručný Průvodce (Čeština) Obsah balení 1. Skener 2. USB kabel 3. Síťový adaptér 4. Stručný průvodce 5. Instalační CD-ROM Popis skeneru 1. USB Port: skener se připojuje pomocí přiloženého USB kabelu k

Více

Příloha 6. Palety nástrojů

Příloha 6. Palety nástrojů Příloha 6. Palety nástrojů Palety nástrojů v IDE poskytují zkrácení pro příkazy nabídky. Příkazy jsou rozděleny do několika palet nástrojů, které mohou být nezávisle přeskupeny nebo vloženy do plovoucích

Více

Průvodce instalací modulu Offline VetShop verze 3.4

Průvodce instalací modulu Offline VetShop verze 3.4 Průvodce instalací modulu Offline VetShop verze 3.4 Úvod k instalaci Tato instalační příručka je určena uživatelům objednávkového modulu Offline VetShop verze 3.4. Obsah 1. Instalace modulu Offline VetShop...

Více

Systém eprojekty Příručka uživatele

Systém eprojekty Příručka uživatele YOUR SYSTEM http://www.ys.cz Systém eprojekty Příručka uživatele YOUR SYSTEM, spol. s r.o., se sídlem Praha Türkova 2319/5b, 149 00 Praha 4, IČ: 00174939, DIČ: CZ00174939, zapsaná v obchodním rejstříku,

Více

Nastavení L2TP VPN ve Windows

Nastavení L2TP VPN ve Windows Nastavení L2TP VPN ve Windows Pro nastavení L2TP VPN je potřeba provést dva kroky import certifikátů a vlastní konfiguraci VPN připojení. Jedním z certifikátů, které budeme potřebovat, je certifikát certifikační

Více

František Hudek. červen ročník

František Hudek. červen ročník VY_32_INOVACE_FH17_WIN Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, obor, okruh, téma Anotace František Hudek červen 2013

Více

Uživatelský manuál. Format Convert V3.1

Uživatelský manuál. Format Convert V3.1 Uživatelský manuál Format Convert V3.1 Obsah Obsah 1 Kapitola 1 - Popis softwaru Systémové požadavky 2 Podporovaná zařízení a formáty 2 Odinstalace 3 Kapitola 2 - Ovládání Výběr formátu souboru 4 Výběr

Více

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam).

Po přihlášení do Osobní administrativy v Technologie a jejich správa vybereme položku Certifikáty bezdrátové sítě (Eduroam). Import certifikátů Prvním krokem je vygenerování a import kořenového a uživatelského certifikátu obdobně jako u sítě Eduroam. Pokud již máte certifikáty importované z Eduroam, tuto část návodu vynechte.

Více

PŘÍRUČKA PRÁCE SE SYSTÉMEM SLMS CLASS pro učitele

PŘÍRUČKA PRÁCE SE SYSTÉMEM SLMS CLASS pro učitele PŘÍRUČKA PRÁCE SE SYSTÉMEM SLMS CLASS pro učitele Vypracoval : Pavel Žemba Obsah Tvorba vlastních testů... 3 Postup tvorby... 3 Test otázky odpovědi... 3 Zadání otázek testu... 5 Test - cvičení na souboru,

Více

Formátování pomocí stylů

Formátování pomocí stylů Styly a šablony Styly, šablony a témata Formátování dokumentu pomocí standardních nástrojů (přímé formátování) (Podokno úloh Zobrazit formátování): textu jsou přiřazeny parametry (font, velikost, barva,

Více

Ing. Michal Martin. CODESYS v panelech firmy Weintek

Ing. Michal Martin. CODESYS v panelech firmy Weintek Ing. Michal Martin CODESYS v panelech firmy Weintek CODESYS v panelech firmy Weintek Autor: Ing. Michal Martin Copyright TECON spol. s.r.o., Vrchlabí, Česká republika Tato publikace prošla jen částečnou

Více

Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN BASIC

Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN BASIC Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN BASIC Modul FADN BASIC je určen pro odbornou zemědělskou veřejnost bez větších zkušeností s internetovými aplikacemi a bez hlubších

Více

Popis základního prostředí programu AutoCAD

Popis základního prostředí programu AutoCAD Popis základního prostředí programu AutoCAD Popis základního prostředí programu AutoCAD CÍL KAPITOLY: CO POTŘEBUJETE ZNÁT, NEŽ ZAČNETE PRACOVAT Vysvětlení základních pojmů: Okno programu AutoCAD Roletová

Více

Elektronický Přepínač 1x KVM, 8xPC/16xPC

Elektronický Přepínač 1x KVM, 8xPC/16xPC Elektronický Přepínač 1x KVM, 8xPC/16xPC 8portů MPC8701 - Katalogové číslo: 14.99.3220 16portů MPC16501 - Katalogové číslo: 14.99.3221 VLASTNOSTI - Ovládání 8/16 PC pomocí jedné konzole (PS/2 klávesnice,

Více

MS Word 2007 Šablony programu MS Word

MS Word 2007 Šablony programu MS Word MS Word 2007 Šablony programu MS Word Obsah kapitoly V této kapitole se seznámíme s: Možností využití šablon při vytváření nových dokumentů Vytvářením vlastních šablon Studijní cíle Po absolvování této

Více

NÁVOD K POUŽITÍ. IP kamerový systém.

NÁVOD K POUŽITÍ. IP kamerový systém. NÁVOD K POUŽITÍ IP kamerový systém www.slkamery.cz 1. Práce se systémem CMS 1. Instalace aplikace Aplikaci CMS nainstalujeme z přiloženého CD. Pokud není CD součástí balení, stáhneme instalační soubory

Více

ZSF web a intranet manuál

ZSF web a intranet manuál ZSF web a intranet manuál Verze pro školení 11.7.2013. Návody - Jak udělat...? WYSIWYG editor TinyMCE Takto vypadá prostředí WYSIWYG editoru TinyMCE Jak formátovat strukturu stránky? Nadpis, podnadpis,

Více

Špatné nastavení oddělovače pro datum

Špatné nastavení oddělovače pro datum Špatné nastavení oddělovače pro datum Program: Veškeré programy firmy INISOFT s.r.o. Vypracoval: Lukáš Grill Datum revize: 21.8.2015 (oddělení technické podpory) Cílem tohoto dokumentu je popsat postup

Více

Modul 2. První sada úkolů:

Modul 2. První sada úkolů: Zadání První sada úkolů: Modul 2 Všechny potřebné složky a soubory pro splnění následující sady úkolů se nachází ve složce sada1. 1. Ve složce Ulohy vytvořte čtyři nové složky (podle obrázku) a pojmenujte

Více

MS SQL Server 2008 Management Studio Tutoriál

MS SQL Server 2008 Management Studio Tutoriál MS SQL Server 2008 Management Studio Tutoriál Vytvoření databáze Při otevření management studia a připojením se ke konkrétnímu sql serveru mám v levé části panel s názvem Object Explorer. V tomto panelu

Více

Nastavení e-mailového klienta

Nastavení e-mailového klienta Nastavení e-mailového klienta NEOFEMA s.r.o. server Pampeliška Jelikož údaje ohledně portů a zabezpečení níže v dokumentu nemusí být aktuální, používejte prosím při nastavování účtů následující údaje.

Více

MIDAM Simulátor Verze 1.5

MIDAM Simulátor Verze 1.5 MIDAM Simulátor Verze 1.5 Simuluje základní komunikační funkce modulů Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600. Umožňuje změny konfigurace, načítání

Více

METODICKÝ POKYN PRÁCE S MS Word MÍRNĚ POKROČILÍ. Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky.

METODICKÝ POKYN PRÁCE S MS Word MÍRNĚ POKROČILÍ. Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. METODICKÝ POKYN PRÁCE S MS Word MÍRNĚ POKROČILÍ Formátování textu Text formátujeme (určujeme jeho vlastnosti) na pásu karet DOMŮ. U textu můžeme formátovat font, velikost písma, řez, barvu písma, barvu

Více

Návod pro připojení k síti VŠPJ prostřednictvím VPN Vysoká škola polytechnická Jihlava

Návod pro připojení k síti VŠPJ prostřednictvím VPN Vysoká škola polytechnická Jihlava Návod pro připojení k síti VŠPJ prostřednictvím VPN Vysoká škola polytechnická Jihlava autor: OIKT 2015 Obsah Návod pro instalaci VPN... 3 Důležité upozornění... 3 Příprava na instalaci - stažení souborů...

Více

Připojení přístroje A4101 k aplikaci DDS2000

Připojení přístroje A4101 k aplikaci DDS2000 " Uživatelský manuál Připojení přístroje A4101 k aplikaci DDS2000 Aplikace :! Přenos a archivace dat naměřených přístrojem A4101! Přenos pochůzky vytvořené v aplikaci DDS2000 do přístroje A4101 Vlastnosti

Více

Návod pro programovatelný ovladač

Návod pro programovatelný ovladač Návod pro programovatelný ovladač Dálkáč General 4 Stars Začínáme Pro programování ovladače je nutné mít připojený IR převodník (programátor) do USB portu počítače a spustit software IR Programmable remote

Více

Návod pro řešení typických problémů se stabilitou AMČR

Návod pro řešení typických problémů se stabilitou AMČR Návod pro řešení typických problémů se stabilitou AMČR (poslední aktualizace 26. 10. 2017) Archeologický mapa ČR (AMČR) je aplikace využívající pro svůj běh prostředků platformy Java. Správná instalace

Více

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1.

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1. Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM Manuál pro administrátory Verze 1.0 2012 AutoCont CZ a.s. Veškerá práva vyhrazena. Tento

Více

Nastavení třídnických hodin

Nastavení třídnických hodin Nastavení třídnických hodin (v 4.0) Níže popsaný návod je určen pro uživatele s rolí Administrátor, není-li uvedeno jinak. Obsah školení: Založení kurzu Třídnická hodina (Th) 2 Hromadná změna hodin na

Více

Reliance 3 design OBSAH

Reliance 3 design OBSAH Reliance 3 design Obsah OBSAH 1. První kroky... 3 1.1 Úvod... 3 1.2 Založení nového projektu... 4 1.3 Tvorba projektu... 6 1.3.1 Správce stanic definice stanic, proměnných, stavových hlášení a komunikačních

Více

Certifikační autorita EET Modelové postupy vytvoření souboru žádosti o certifikát

Certifikační autorita EET Modelové postupy vytvoření souboru žádosti o certifikát Certifikační autorita EET Modelové postupy vytvoření souboru žádosti o certifikát verze 1.0, 1.9.2016 OBSAH 1 Úvod... 3 2 Sestavení souboru žádosti o certifikát ve Windows 7... 4 Přidání modulu snap-in

Více

Manuál SW lokalizace problémů a hodnot v dynamické mapě

Manuál SW lokalizace problémů a hodnot v dynamické mapě Manuál SW lokalizace problémů a hodnot v dynamické mapě Přístup na software je přes webovou stránku http://hodnoty.mapovyportal.cz, přes tlačítko Vstup do aplikace nebo přímým odkazem, například ze stránek

Více

Do evidenčního systému ČAS se atletický oddíl/klub (dále jen oddíl ) přihlásí na adrese:

Do evidenčního systému ČAS se atletický oddíl/klub (dále jen oddíl ) přihlásí na adrese: Do evidenčního systému ČAS se atletický oddíl/klub (dále jen oddíl ) přihlásí na adrese: http://online.atletika.cz/registrace. Přístupové údaje do systému byly zástupcům všech oddílů zaslány emailem. Pokud

Více

Pokyny pro žáky k testování písemné zkoušky na počítači

Pokyny pro žáky k testování písemné zkoušky na počítači Pokyny pro žáky k testování písemné zkoušky na počítači Posadíte se na určené místo v počítačové učebně, kde již předtím správce zkoušky spustil určený internetový prohlížeč s IP adresou zkouškového serveru.

Více

NVR Easyn pro IP kamery 1080p

NVR Easyn pro IP kamery 1080p NVR Easyn pro IP kamery 1080p Návod k obsluze Kontakt na dodavatele: SHX Trading s.r.o. Týmlova 8, Praha 4, 140 00 Tel: 244 472 125, email: info@spyobchod.cz www.spyobchod.cz Stránka 1 1. Zobrazení přístroje

Více

1. Instalace programu LUPA

1. Instalace programu LUPA 1. Instalace programu LUPA Do CD mechaniky počítače vložte instalační CD. Objeví se tabulka, v níž instalaci spusťte kliknutím na řádek Instalace programu Lupa. Úvodní obrazovku s logem programu je nutno

Více

Nastavení MS Windows Vista pro připojení k WIFI síti JAMU. Stažení certifikátu JAMU. Instalace certifikátu JAMU

Nastavení MS Windows Vista pro připojení k WIFI síti JAMU. Stažení certifikátu JAMU. Instalace certifikátu JAMU Nastavení MS Windows Vista pro připojení k WIFI síti JAMU Následující návod stručně popisuje, jak nastavit přístup do bezdrátové sítě pomocí protokolu 802.1X ve Windows Vista (české verzi). Prvním krokem

Více