Použití schematického editoru pro návrh kombinační logiky. Pavel Lafata

Rozměr: px
Začít zobrazení ze stránky:

Download "Použití schematického editoru pro návrh kombinační logiky. Pavel Lafata"

Transkript

1 Použití schematického editoru pro návrh kombinační logiky Pavel Lafata

2 Autor: Pavel Lafata Název díla: Použití schematického editoru pro návrh kombinační logiky Zpracoval(a): České vysoké učení technické v Praze Fakulta elektrotechnická Kontaktní adresa: Technická 2, Praha 6 Inovace předmětů a studijních materiálů pro e-learningovou výuku v prezenční a kombinované formě studia Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

3 VYSVĚTLIVKY Definice Zajímavost Poznámka Příklad Shrnutí Výhody Nevýhody

4 ANOTACE Tento modul seznamuje studenty s možností návrhu a realizace základních kombinačních bloků v jazyce VHDL s využitím schematického editoru a knihoven značek. CÍLE Díky tomuto modulu získají studenti znalost pro návrh a realizaci převodníků binárních kódů, včetně úpravy a vyjádření funkčního zápisu. Ten je posléze realizován pomocí jazyka VHDL v prostředí schematického editoru s využitím předpřipravených knihoven značek a schémat. Výsledný návrh převodníku je implementován do výukového přípravku a jeho funkčnost je tak ověřena. LITERATURA [1] STRNAD, Ladislav. Základy číslicové techniky: cvičení. 1. vydání. Praha : ČVUT, s. ISBN [2] HAVLAN, Martin. Konstrukce telekomunikačních zařízení: cvičení. Praha : ČVUT, s. ISBN [3] PINKER, Jiří; POUPA, Martin. Číslicové systémy a jazyk VHDL. Praha : BEN - technická literatura, s. ISBN [4] Spartan-3 Generation Configuration User Guide. In Spartan-3 Generation Configuration User Guide, Extended Spartan-3A, Spartan-3E, and Spartan-3 FPGA Families [online]. verze 1.6, 26. října, 2009 [cit ]. Dostupné z WWW: <

5 Obsah 1 Návrh převodníku kódů Princip převodníku, typy kódů Převodní tabulka Minimalizace funkčního zápisu Návrh převodníku pomocí kombinační logiky Realizace převodníku pomocí hradel typu NAND Realizace převodníku pomocí schematického editoru Založení nového projektu a jeho základní nastavení (1/2) Založení nového projektu a jeho základní nastavení (2/2) Vytvoření modulu v rámci projektu Hlavní okno projektu Popis hlavního okna editoru Nákres schématu (1/3) Nákres schématu (2/3) Nákres schématu (3/3) Uložení projektu a jeho syntéza Další položky projektu v menu Design Definice a přiřazení vstupně-výstupních prvků (1/2) Definice a přiřazení vstupně-výstupních prvků (2/2) Implementace převodníku do přípravku (1/2) Implementace převodníku do přípravku (2/2) Ověření funkčnosti převodníku Shrnutí realizace převodníku pomocí schematického editor Návrh a realizace jiných převodníků kódů Návrh a realizace dalších převodníků kódu Test... 29

6 1 Návrh převodníku kódů 1.1 Princip převodníku, typy kódů Číselný kód představuje soubor pravidel pro jednoznačné přiřazení znaku (symbolu, čísla) hodnotě daného čísla na určené pozici. Účelem aplikace různého způsobu kódování je využití kladných vlastností jednotlivých druhů kódů při přenosu informací, při jejich zpracování či ukládání [1]. Převodníky kódů jsou kombinační obvody, které ze vstupních kódů vytvářejí kódy jiné. Úkolem převodníků je převádět jeden druh kódu na jiný a obráceně. Mezi nejznámější binární (dvojkové) kódy patří BCD kód, ve kterém je každá desítková číslice vyjádřena jako čtyřmístné binární číslo [1]. Další skupinu pak tvoří váhové kódy, které každému řádovému místu přiřazují jiný váhový koeficient. Jinými příklady kódů pak mohou být kódy s posunutím, typickým představitelem je např. kód F+3, kdy výsledná kódová složka představuje posun o hodnotu 3 oproti přímému kódu. Dalšími skupinami pak mohou být kódy se změnou v n-řádech (nejznámějším je Grayův kód), kódy 1 z n a jiné.

7 1.2 Převodní tabulka Pro popis funkce převodníku je nejdůležitější tzv. převodní tabulka. Převodní tabulka specifikuje chování převodníku a vyjadřuje postup, jak z určitého kódu získat kód jiný. Z převodní tabulky lze následně sestavit funkční popis převodníku. Prvním krokem je však obvykle vytvoření Karnaughovy mapy [1]. Pro minimalizace logických funkcí se využívá nejčastěji právě Karnaughova mapa, rovinný rozvoj tělesa, metoda Quine-McCluskey. Účelem procesu minimalizace je získání minimální formy jednotlivých funkcí převodníku. To nám obvykle umožní ušetřit potřebné prostředky při jeho realizaci a celkově zjednoduší výsledné zapojení. Uveďme proto příklad návrhu a realizace převodníku kódu z přímého dvojkového BCD na váhový kód Sestavme nejprve převodní tabulku. Převodní tabulka pro váhový kód Kód 5421 N(10) f4 f3 f2 f

8 1.3 Minimalizace funkčního zápisu V druhém kroku sestavme čtveřici Karnaughových map pro funkce převodníku f1, f2, f3 a f4 a tyto funkce minimalizujme. Pro každou z funkcí, které popisují jedno řádové místo převodníku, sestavíme vlastní Karnaughovu mapu, ve které pomocí smyček přes podstatné (jednotkové) body a neurčité stavy provedeme minimalizaci formy. Karnaughovy mapy pro minimalizaci funkcí převodníku. Zapišme výsledek minimalizace pro jednotlivé funkce. f 1 = ad acd abc f 2 = ab ad bc f 3 = ad abc f 4 = d ac bc 8

9 1.4 Návrh převodníku pomocí kombinační logiky Minimalizované logické funkce vyjádřené v předchozí kapitole můžeme nyní realizovat pomocí kombinační logiky. Funkce f1, f2, f3 a f4 jsme pomocí Karnaughových map vyjádřili pomocí tzv. minimální normální disjunktní formy. Minimální normální disjunktní forma se označuje též jako součtová forma a obsahuje tolik součinů (konjunkcí), kolik má daná logická funkce jednotkových (podstatných) bodů, tedy bodů, ve kterých funkce nabývá hodnoty logické jedničky. Kromě ní existuje rovněž minimální normální konjunktní forma (označovaná též jako součinová forma), která vychází z nepodstatných bodů funkce (nulových bodů a neurčitých stavů). Výchozí formy pro realizaci pomocí kombinační logiky. Výchozí algebraická forma (úplná či minimalizovaná normální forma) Disjunktní (součtová) Konjunktní (součinová) Použité logické hradlo NAND (negovaný logický součin) NOR (negovaný logický součet) AND-OR-INVERT (dvojice členů logických součinů následovaná logickým součtem a logickou negací) Nyní můžeme přistoupit k realizaci vlastního převodníku pomocí logických hradel NAND. Výchozí minimální formy z předchozí kapitoly je potřeba ještě upravit, k čemuž v Booleově algebře slouží De Morganovy zákony a pravidla pro vytváření negací [1]. f 1 = ad acd abc = ad acd abc f 2 = ab ad bc = ab ad bc f 3 = ad abc = ad abc f 4 = d ac bc = d ac bc 9

10 1.5 Realizace převodníku pomocí hradel typu NAND Díky sérii předchozích úprav funkcí můžeme již přistoupit k vlastní realizaci převodníku pomocí hradel typu NAND (negovaný logický součin). Realizace převodníku pomocí hradel typu NAND. Při návrhu logického obvodu pomocí kombinační logiky je nejprve nutné vyjít z jeho funkčního popisu, ať již ve formě pravdivostní tabulky, mapy, či algebraického zápisu. S výhodou lze využít proces minimalizace (mapou, tělesem, metodou Quine-McCluskey), což v řadě případů zjednoduší výslednou realizaci a sníží počet použitých hradel. Pomocí De Morganových zákonů a pravidel pro vytváření negaci je vhodné výslednou formu před vlastní realizací upravit pro použití konkrétních logických hradel. Minimalizovanou formu, ať již v podobě disjunktní či konjunktní, je následně možné přímo realizovat pomocí elementárních logických hradel (obvykle NAND, NOR, AND-OR-INVERT). 10

11 2 Realizace převodníku pomocí schematického editoru 2.1 Založení nového projektu a jeho základní nastavení (1/2) Prvním krokem při spuštění návrhového prostředí ISE Webpack od společnosti Xilinx je založení nového projektu. Klikněte proto na tlačítko New Project, nebo v základním menu v položce File zvolte New Project. V následném okně je potřeba pojmenovat projekt a zvolit výchozí typ návrhu, v našem případě pomocí schematického editoru značek a symbolů. V názvu projektu se vyvarujte použití interpunkčních znamének (háčky, čárky), speciálních znaků (vykřičník, hvězdička, křížek a jiné) a název projektu by rovněž neměl začínat číslicí (na druhém až posledním místě v názvu projektu se číslice nacházet již může). Potvrďte úvodní nastavení projektu tlačítkem Next, jak ukazuje následující obrázek. Úvodní obrazovka při založení nového projektu. V dalším okně, které se objeví po potvrzení předchozích údajů, je potřeba detailněji specifikovat typ a parametry použitého přípravku a zvoleného programovacího jazyka, simulátoru a editoru. Následující nastaveni a volba parametrů a typu přípravku má přímý vliv na konečnou implementaci programu či schématu do zvoleného přípravku a může podstatným způsobem ovlivnit chod vlastního programu. Nastavené parametry lze v již založeném projektu měnit a upravovat, věnujte však tomuto nastavení náležitou pozornost! 11

12 2.2 Založení nového projektu a jeho základní nastavení (2/2) V druhém okně zvolme typ použitého přípravku, jeho parametry, verzi a parametry použitého programovacího jazyka a schematického editoru. Podívejme se proto nejprve na následující obrázek s nastavením. Detailní specifikace projektu a typu použitých nástrojů. Jako první zvolme typ přípravku. V našem případě se jedná o výukový kit s označením Xilinx Spartan-3E, toto označení nalezneme a vybereme v položce Family. Při jejím zvolení by mělo automaticky dojít k výběru typu pouzdra, Package, v následující položce. Pokud se tak nestane, zvolte typ pouzdra označený FG320. Poslední položka v horní polovině okna s názvem Speed nastavuje parametry pro rychlost zpracovávání a vykonávání instrukcí a pro proces optimalizace. Ve spodní polovině okna pro nastavení vlastností projektu stojí za zmínku výběr programů pro syntézu navrženého VHDL kódu či schématu a také simulační program. V komplexním balíku ISE od společnosti Xilinx jsou již obsaženy oba tyto nástroje, program pro syntézu kódu s názvem XST a simulátor ISim. Je však možné využít libovolný program jiného výrobce a v těchto dvou položkách ho pak zvolit. Poslední důležitou položkou je výběr verze (standardu) jazyka VHDL, obvykle jí bývá z důvodu zachování zpětné kompatibility starší standard VHDL- 93, pro využití moderních funkcí jazyka VHDL je možné zvolit položku VHDL- 200X [2], [3]. Po odsouhlasení nastavených parametrů pomocí kliknutí na tlačítko Next se objeví již jen zkrácený textový výpis s informacemi o projektu, který kliknutím na tlačítko Finish potvrďte. 12

13 2.3 Vytvoření modulu v rámci projektu Po úspěšném založení projektu klikněte pravým tlačítkem myši na název projektu v okně editoru zcela vlevo a volbou New Source přidejte nový zdrojový modul, který bude obsahovat vlastní schéma převodníku. Ke stejnému účelu lze rovněž využít ikonu s názvem New source a s obrázkem nového dokumentu (prázdný list s hvězdičkou), která se nachází rovněž v levém okně zcela nahoře v levé liště. Tímto postupem dojde k otevření nového dialogového okna, ve kterém se specifikuje typ a název vlastního modulu obsahujícího schéma převodníku či jeho VHDL kód. Toto okno je uvedeno rovněž na následujícím obrázku. Dialogové okno pro vytvoření nového modulu v rámci projektu. V levé části, v seznamu dostupných typů modulů, zvolme typ s názvem Schematic. Tato volba specifikuje způsob vytvoření převodníku (obecně jakéhokoliv programu), v našem případě tedy pomocí schématu a značek z dostupných knihoven základních prvků. Důležité je rovněž modul vhodně pojmenovat, přičemž platí stejná pravidla, jako v případě pojmenování celého projektu, která byla uvedena v předchozí části. Zkontrolujte rovněž, zda je zaškrtnuto okénko ve spodní části dialogového okna tak, aby došlo k vzájemnému propojení modulu a nadřazeného projektu. Pokud je vše správně nastaveno, potvrďte kliknutím na tlačítko Next a v následujícím textovém okně klikněte na Finish. V rámci jednoho projektu může být samozřejmě definováno větší množství modulů a to dokonce různých typů. V jednom modulu může být například vlastní program (který může být dále členěn do několika navzájem propojených modulů), v jiné skupině modulů pak např. simulační a testovací program (posloupnost) atd. Modularita a rozdělení větších celků na menší elementární části, kdy každá vykonává jednu základní funkci a dohromady pak všechny tvoří celkový výsledný program, je jednou z klíčových vlastností jazyka VHDL [2], [3]. 13

14 2.4 Hlavní okno projektu Ve spodní části programu se nachází lišta se záložkami pro snadné a rychlé přepínání hlavních oken celého projektu. Na první záložce se obvykle nachází první obrazovka projektu, která obsahuje všechny základní a podstatné informace. Základní obrazovka s informacemi a vlastnostmi projektu. V hlavní části se nachází tabulka s informacemi o projektu. Jednotlivé položky v tabulce jsou postupně doplňovány v souvislosti s tím, jak probíhají jednotlivé fáze projektu od nákresu schématu (či napsání programu v jazyce VHDL), přes fázi syntézy, simulace, přiřazení vstupně-výstupních prvků, až po samotnou implementaci do přípravku. V tomto okně se lze mimo jiné dozvědět o náročnosti navrženého programu (kolik % kapacity FPGA pole bylo daným programem obsazeno), je zde uveden přehled všech varování i zjištěných chyb návrhu a podobně. Pod lištou se záložkami se nachází textová konzole, kde jsou jednotlivé fáze projektu rozepsány podrobněji v textové verzi. Pro obsluhu programu ISE je, kromě základního ovládání pomocí myši a klávesnice, možné využít i dávkové bloky příkazů a skriptů spouštěných v příkazové řádce. 14

15 2.5 Popis hlavního okna editoru Po úspěšném vytvoření modulu převodníku se objeví hlavní okno schematického editoru. Hlavní okno schematického editoru. V něm se nachází nejdůležitější sada ikon na liště po levé straně vlastní plochy pro kreslení schématu. Z nich jsou nejdůležitější zejména první se symbolem šipky, která umožňuje uchopení již nakreslených objektů, jejich úpravu, přemístění, výběr větší skupiny a podobně. Třetí ikonou je pak volba pro kreslení propojů mezi jednotlivými bloky, elementárními logickými hradly, vstupy a výstupy a v reálném prostředí tak nahrazuje zapojení pomocí propojovacích vodičů. Jednotlivé propoje lze navíc pojmenovávat a označovat (pro zlepšení přehlednosti) pomocí další, čtvrté ikonky. V pořadí sedmá ikona odshora se symbolem tlusté šipky s krátkým vývodem umožňuje definovat vstupy a výstupy jednotlivých elementů, bloků, nebo celého schématu. Jedná se o důležitou funkci, kdy jsou jednotlivým programovým výstupům následně přiřazeny reálné výstupy na použitém přípravku (např. přepínače, tlačítka, LED diody apod.) [4]. Každý ze vstupů i výstupů lze samozřejmě libovolně pojmenovat. Osmá ikonka odshora s obrázkem logické značky otevírá knihovny předdefinovaných elementárních logických členů, hradel, bloků a celků. Po kliknutí se v levém okně otevře nabídka dostupných schematických symbolů, které lze využít pro nakreslení schématu a realizaci požadovaného návrhu. Další ikonky pak umožňují provádět některé dodatečné úpravy a operace, zakreslit pomocné prvky, označení, provést uspořádání a rotaci jednotlivých elementárních bloků a jiné. 15

16 2.6 Nákres schématu (1/3) Prvním krokem je vytvoření vstupních proměnných. Je samozřejmě možné využít stejný způsob, jaký byl použit u náčrtku převodníku v předchozí kapitole, tj. na vstupy každého hradla zakreslit samostatně vstupní proměnné. V našem případě při nutnosti vytvořit čtveřici samostatných bloků pro funkce f1, f2, f3 a f4 by to však bylo velmi zdlouhavé a pracné. Mnohem jednodušší a rychlejší je vytvořit samostatnou sběrnici pomocí čtyř vodičů (pro čtyři proměnné) a vstupy jednotlivých hradel připojovat k vybraným vodičům sběrnice. Nakreslení sběrnice pro čtyři vstupní proměnné. Klikněte proto na ikonu pro nakreslení vodiče a vytvořte čtveřici svislých vodičů, které tvoří pomyslnou sběrnici. Dále pak klikněte na ikonku pro vytvoření vstupuvýstupu s názvem Add I/O Marker a v okamžiku, kdy najedete myší na konec jednoho z vodičů, objeví se kolem něho malý rámeček (prostředí ISE podporuje systém uchopení a přichycení) a následně klikněte. Tím se na konci vodiče objeví vstupní šipka, do které se vloží automaticky generovaný název vstupní proměnné (obvykle XLXN_ a číslo). Vzhledem k tomu, že v našem návrhu máme vstupní 16

17 proměnné pojmenované písmenky a, b, c, d, je optimální tyto automaticky generované názvy přejmenovat. Klikněte proto na první ikonku lišty s nabídkou schematického editoru s obrázkem černé šipky, následně přejeďte myší na vstup, který chcete přejmenovat, klikněte na něho pravým tlačítkem a z krátkého menu zvolte položku Rename Port. Zde vyplňte požadovaný název a potvrďte. 17

18 2.7 Nákres schématu (2/3) Nyní zvolte v základní liště ikon schematického editoru ikonu pro otevření knihovny elementárních bloků a hradel (osmá odshora) a v levém okně se vám otevře kompletní nabídka všech použitelných prvků. V horní polovině okna knihoven se nachází seznam základních kategorií, jsou zde například prvky spadající do kategorie sekvenční logika, prvky pro aritmetické operace, prvky kombinační logiky, paměti, čítače, dekodéry a další. Pro potřeby našeho projektu vystačíme s prvky, které obsahuje knihovna kombinační logiky (hradel) s názvem Logic. Po jejím zvolení se v dolní části levého okna v sekci Symbols objeví seznam jednotlivých prvků, které tato knihovna obsahuje. S ohledem na mezinárodní normu, jsou jednotlivá hradla kombinační logiky pojmenována anglickými názvy: and, inv, nand, nor, xor a podobně. U každého hradla je navíc obvykle uvedena dvojice čísel. První číslo v názvu specifikuje celkový počet vstupů daného hradla, zatímco druhé číslo vymezuje, kolik z celkového počtu těchto vstupů je negovaných (invertovaných). Negovaný vstup (nebo výstup) je vždy označen kroužkem. Například tedy označení and2b1 vyjadřuje, že se jedná o hradlo logického součinu AND, které má celkem dva vstupy, z nichž jeden je negovaný (druhý je tedy tím pádem přímý). 18

19 2.8 Nákres schématu (3/3) Postupně tedy z knihovny Logic vyberte potřebná hradla pro realizaci převodníku, přičemž vycházejte z nákresu zapojení, které jsme vytvořili v předchozí kapitole pro všechny čtyři výstupní funkce f1, f2, f3 a f4. Pro propojení jednotlivých hradel navzájem použijte ikonku pro vložení propojky. K připojení vstupních proměnných na jednotlivá hradla využijeme připravenou sběrnici a propojíme vstupy hradel na jednotlivé vodiče sběrnice podle toho, která z proměnných má vstupovat do konkrétního hradla. Při potřebě negované vstupní proměnné použijeme jednoduše hradlo s negovaným vstupem. Při úspěšném připojení vstupů hradel na sběrnici proměnných se kolem propojky na sběrnici objeví symbol plného čtverečku. Pokud se tento čtvereček neobjeví, není propojení vodičů úplné a propoj tak není funkční! Nezapomeňte rovněž vytvořit výstupy z každého bloku převodníku f1, f2, f3 a f4. Provedeme to opět stejným způsobem, jako v případě definování vstupů. Tedy po kliknutí na příslušnou ikonkou Add I/O Marker na hlavní liště editoru označte konkrétní výstup hradla a opět pomocí kliknutí pravým tlačítkem myši a volby Rename Port přejmenujte výstup do požadovaného formátu (f1, f2, f3, f4). Ukázka realizace funkce f1 pomocí schematického editoru. 19

20 2.9 Uložení projektu a jeho syntéza Po dokončení nákresu celého schématu převodníku (tedy všech čtyř jeho funkcí f1, f2, f3, f4) uložte modul či rovnou celý projekt. V hlavní liště programu se za tímto účelem nachází ikonka diskety pro uložení pouze samostatného modulu, či sousední ikonka několika disket pro uložení celého projektu. Stejnou operaci lze pak provést i volbou menu File a výběrem položek Save, Save As a Save All. Uložený projekt je potřeba nyní syntetizovat. Syntéza v jazyce VHDL představuje proces, kdy se z obecného kódu VHDL či schématického nákresu provede jeho realizace pomocí konkrétních hradel a členů, obsažených v daném hradlovém poli FPGA [3]. V levé spodní části programu přelistujte na záložku s názvem Design. V levé části se tak otevře hlavní nabídka s jednotlivými fázemi projektu. Označte v horní polovině vlastní modul s nákresem převodníku (bude pojmenovaný názvem, který jste zadali při jeho vytvoření a bude mít příponu.schm) a v dolní části okna dvojklikem levým tlačítkem klikněte na položku Synthesize-XST. Tím se spustí proces syntézy. V konzolové sekci hlavního okna lze sledovat pomocí textových příkazů a informací údaje o právě prováděné syntéze, včetně případných varování (Warnings) či chyb v návrhu (Errors). Pokud proběhne celá syntéza správně a bez problémů, zobrazí se u ní v menu Design zelený potvrzovací symbol (OK). Spuštění procesu syntézy projektu. 20

21 2.10 Další položky projektu v menu Design V menu Design pomocí křížku rozbalte položku s názvem Design Utilities a dvojklikem spusťte čtvrtou volbu s názvem View HDL Functional Model. Dojde tak k otevření nového okna, ve kterém bude uveden funkční popis navrženého schématu převodníku pomocí jazyka VHDL a kde lze rovněž zkontrolovat jeho správnou funkčnost. Opět v menu Design tentokrát rozbalte položku Synthesize-XST a dvojklikem levým tlačítkem myši spusťte první položku View RTL Schematic. V následujícím okně ponechte první volbu a tlačítkem OK potvrďte. V nově otevřeném okně označte v levém sloupečku položku s názvem modulu převodníku, v prostřední části okna klikněte na tlačítko Add a v pravé dolní části obrazovky klikněte na tlačítko Create Schematic. Tím se na obrazovce otevře nové okno se symbolem celého převodníku (vstupy a, b, c, d a výstupy f1, f2, f3 a f4), které se pomocí dvojího kliknutí rozevře a lze nahlédnut podrobně do vnitřní struktury realizace. Pomocí ovládacích prvků v hlavní liště (různé druhy a typy přiblížení či vykreslení se symbolem lupy), lze zobrazit jednotlivé detaily realizace, či celé schéma oddálit a zobrazit komplexně na celé obrazovce. Ukázka části RTL schématu navrženého převodníku. RTL schéma ukazuje navržený objekt (program, schéma) tak, jak bude realizován v daném konkrétním FPGA hradlovém poli. 21

22 2.11 Definice a přiřazení vstupně-výstupních prvků (1/2) Dalším krokem implementace navrženého převodníku do výukového přípravku Xilinx Spartan-3E je přiřazení vstupů a výstupů převodníku konkrétním prvkům na desce přípravku. Na přípravku se nachází velké množství vstupních i výstupních prvků ovladačů, periferií, pro potřeby jednoduchého převodníku kódu se však nejlépe hodí série čtyř přepínačů umístěných v pravém dolním rohu a jako výstup mohou jednoduše posloužit indikační LED diody, které se nacházejí nad těmito přepínači. Každý z těchto prvků je připojen k jednomu (či více) vstupně-výstupním pinům (I/O pin) hradlového pole FPGA. Přepínače, které mají jen dva základní stavy (vypnuto, zapnuto), LED diody (svítí, nesvítí), tlačítka (stisknuto, nestisknuto) a další jsou připojeny k jednomu pinu hradlového pole, zatímco některé prvky, které mohou nabývat více stavů (otočný přepínač), jsou připojeny k více pinům. Každý z uvedených pinů má své konkrétní označení (podle místa, kde je k FPGA poli připojen) a tyto piny jsou obvykle sdružovány do skupin (tzv. bank). Konkrétní připojení ovládacích prvků lze nalézt v manuálu přípravku Xilinx Spartan-3E [4] a pro naše potřeby realizace převodníku uveďme jednotlivá označení čtveřice přepínačů a čtveřice LED diod (přípravek obsahuje celkem 8 indikačních LED diod, využijeme však pouze 4 pro výstupní funkce) a jejich přiřazení pro vstupy (proměnné a, b, c, d) a výstupy (funkce f1, f2, f3, f4) převodníku. Vstup/výstup převodníku Ovládací prvek přípravku Označení daného pinu v hradlovém poli FPGA Vstupní proměnná a Přepínač 1 L13 Vstupní proměnná b Přepínač 2 L14 Vstupní proměnná c Přepínač 3 H18 Vstupní proměnná d Přepínač 4 N17 Výstupní funkce f1 LED dioda 1 F12 Výstupní funkce f2 LED dioda 2 E12 Výstupní funkce f3 LED dioda 3 E11 Výstupní funkce f4 LED dioda 4 F11 22

23 2.12 Definice a přiřazení vstupně-výstupních prvků (2/2) V záložce projektu Design rozbalte menu User Constraints a klikněte levým tlačítkem myši na položku Floorplan Area/IO/Logic. V informačním okně, které se následně objeví, potvrďte vytvoření nového souboru s příponou.ucf, který bude obsahovat výše zmíněné přiřazení. Spustí se pomocný program s názvem PlanAhead. V hlavním okně programu je model FPGA pole Spartan-3E, ve kterém lze označovat jednotlivé bloky a zobrazovat o nich informace v levé části okna. V levé části programu se pak nachází trojice informačních oken, kde pomocí jednotlivých záložek lze listovat a volit požadované funkce. Velké textové okno (konzole) vyplňuje spodní část celého programu. Ve zcela spodní části konzolového okna klikněte na záložku s názvem I/O Ports. Objeví se skupina (balík) portů s názvem Scalar ports, kterou rozbalte pomocí křížku. Zde se pak nachází kompletní seznam všech vstupů a výstupů, v námi navrženém programu či schématu. Kliknutím na konkrétní port se u něho objeví podrobnější informace, např. zda se jedná o vstup či výstup (input, output), rozhodovací úroveň mezi oblastí logické jedničky a nuly atd. Zde je potřeba u každého vstupu i výstupu ve sloupečku s názvem Site zvolit konkrétní označení pinu a přiřadit tak daný vstup či výstup konkrétnímu prvku na výukovém přípravku. Po kliknutí do dané položky se otevře seznam dostupných pinů, nebo lze pomocí klávesnice přímo označení pinu dopsat. Vyplněnou tabulku na základě přiřazení uvedeného v předchozí tabulce zachycuje následující obrázek. Správně vyplněné údaje pro vstupy a výstupy převodníku na základě údajů z předchozí tabulky. Soubor obsahující toto přiřazení (s příponou.ucf) je uložen v běžném textovém formátu, lze ho proto otevřít a jednoduše editovat v jakémkoliv textovém editoru. 23

24 2.13 Implementace převodníku do přípravku (1/2) V prvním kroku připojte vlastní přípravek Xilinx Spartan-3E pomocí volného USP portu počítače. Zapněte přípravek přepínačem ON/OFF, který se nachází v jeho levé horní části. Postupně dojde k inicializaci přípravku, instalaci ovladačů v systému počítače, a pokud je celá tato fáze úspěšně dokončená, rozsvítí se zelená indikační LED dioda umístěná na desce vedle konektoru USB. Po úspěšné přiřazení vstupů a výstupů a jeho uložení zavřete pomocný program PlanAhead a vraťte se do hlavní nabídky projektu a menu Design. V tomto menu dvojklikem levým tlačítkem myši spusťte fázi Configure Target Device. Program postupně projde jednotlivé kroky implementace a bude každý z nich pomocí symbolů označovat, pokud proběhl v pořádku a korektně, či zda se nevyskytla někde chyba. O možných varováních, chybách a dalších důležitých informacích o celém procesu, se lze dočíst v textovém výpisu v konzoli hlavního okna a v podrobnostech o projektu na jeho úvodní straně. V informačním okně, které se po ukončení hlavní fáze překladu a implementace objeví, klikněte na tlačítko OK (vytvoření nového souboru pro implementaci). Dojde ke spuštění pomocného programu s názvem ISE impact, který slouží k vlastnímu naprogramování přípravku. Automaticky proběhne navázání komunikace s připojeným přípravkem, pokud by přesto k tomu nedošlo, klikněte dvojklikem levým tlačítkem myši na položku Boundary Scan a pomocí pravého tlačítka zvolte v následném menu položku Initialize Chain. V hlavním okně programu ISE impact se zobrazí trojice programovatelných hradel (jedno je FPGA pole a druhá dvě pak typu CPLD, podrobnější popis lze nalézt v [4]) tak, jak ilustruje následující obrázek. Okno programu ISE impact s připojeným přípravkem Xilinx Spartan-3E. 24

25 2.14 Implementace převodníku do přípravku (2/2) V dialogovém okně s dotazem, zda požadujete přiřazení konfiguračního souboru k jednotlivým částem přípravku, klikněte na tlačítko Yes. V nově otevřeném okně pak označte soubor s názvem projektu a příponou.bit (měl by být v celém projektu pouze jeden a pomocí nastaveného filtru jej lze snadno identifikovat) a klikněte na tlačítko Open (stejnou funkci provede i dvojklik levým tlačítkem myši na daný soubor). V dalším okně pak klikněte na tlačítko No (nepožadujeme dodatečné naprogramování dostupné paměti) a v dalších dvou oknech klikněte vždy na tlačítko Bypass. Díky volbě Bypass dojde k vynechání naprogramování dvojice CPLD hradel. Ty lze využít při realizaci složitějších programů, kdy je například nutné daný program rozdělit a část umístit do FPGA pole a část do pole CPLD, což však není v našem případě nutné. Při správném postupu podle předchozího návodu se bude pod značkou FPGA hradla nacházet název souboru s uloženým projektem, zatímco pod oběma zbývajícími CPLD obvody informace o tom, že mají být při procesu naprogramování přeskočeny (Bypass). Nyní klikněte pravým tlačítkem myši na ikonu FPGA hradlového pole a z následného menu zvolte položku Program. Dojde k naprogramování přípravku (nahrání zvoleného programu do FPGA pole), což je potvrzeno hláškou Program Succeeded. Správně provedená příprava a naprogramování přípravku. 25

26 2.15 Ověření funkčnosti převodníku Po úspěšném naprogramování přípravku se v jeho pravé horní části rozsvítí oranžová indikační LED dioda signalizující, že v paměti je uložen program. Opětovné vymazání programu lze provést vypnutím napájení přípravku (přepínačem ON/OFF v levém horním rohu), případně stisknutím tlačítka s názvem PROG, které se nachází napravo od oranžové indikační LED diody. Ověřte nyní správnost navrženého převodníku kódů a jeho implementaci v rámci přípravku Xilinx Spartan-3E. Pomocí přepínačů v pravém dolním rohu nastavte postupně všechny kombinace odpovídající přímému BCD kódu a na indikačních LED diodách nad přepínači sledujte výsledek převodu. Zkontrolujte jeho správnost porovnáním s tabulkou převodníku uvedenou v první kapitole. 26

27 2.16 Shrnutí realizace převodníku pomocí schematického editor Prvním krokem vlastní realizace je založení nového projektu, ve kterém je nutno vytvořit modul typu schéma, který bude obsahovat vlastní nákres převodníku. Pro nakreslení schématu lze využít knihovny předpřipravených značek, propojovací vodiče, je rovněž nutné definovat a pojmenovat vstupy a výstupy jednotlivých elementů či celých bloků. V dalším kroku je potřeba provést syntézu projektu a v této fázi je vhodné ověřit správnost návrhu pomocí kontroly vytvořeného zapojení (případně i VHDL kódu). Pokud je vše v pořádku, lze přistoupit k fázi přiřazení vstupů a výstupů modulů k jednotlivým vstupně-výstupním prvkům na reálném přípravku. Posledním krokem je pak implementace celého projektu do přípravku a ověření funkčnosti. 27

28 3 Návrh a realizace jiných převodníků kódů 3.1 Návrh a realizace dalších převodníků kódu V úvodní kapitole byly zmíněny různé druhy a typy binárních kódů, přičemž tyto kód lze rozdělit do několika základních kategorií. Uveďme proto převodní tabulky několika dalších binárních kódů. Převodní tabulky pro několik vybraných binárních kódů. Kód Grayův kód Kód F+3 N(10) f4 f3 f2 f1 f4 f3 f2 f1 f4 f3 f2 f Proveďte návrh a realizaci převodníků pro kód , Grayův kód a kód F+3 pomocí jejich převodních tabulek uvedených výše a s využitím stejného postupu, jako v popsané ukázce návrhu převodníku kódu Navržené převodníky a jejich schémata nakreslená pomocí editoru ISE Webpack postupně implementujte do přípravku Xilinx Spartan-3E a ověřte jejich funkčnost. 28

29 3.2 Test 1. Kód s označením je kód typu. a) Kód s pousvem. b) Kód se změnou v n řádech. c) Váhový kód. d) Kód typu 1 z n. správné řešení: c 2. Karnaughova mapa se používá. a) Při převodu vyjádření forem logické funkce (disjunktní, konjunktní) b) Při procesu minimalizace logické funkce. c) V případě uplatnění zákona agresivity logické jedničky. d) V případě hledání negace logické funkce. správné řešení: a, b, d 3. Grayův kód je kódem typu. a) Kód se změnou v n řádech. b) Kód typu 1 z n. c) Kód s pousvem. d) Váhový kód. správné řešení: a 4. Disjunktní forma logické funkce. a) Vychází z nulových bodů funkce. b) Vychází z podstatných bodů funkce. c) Vychází z nepodstatných bodů funkce. d) Se označuje také jako součinová forma. správné řešení: b 29

30 5. Konjunktní forma logické funkce. a) Vychází z podstatných bodů funkce. b) Vychází z jednotkových bodů funkce. c) Se označuje také jako součtová forma. d) Vychází z nepodstatných bodů funkce. správné řešení: d 6. Výchozí formou při realizaci logické funkce pomocí hradel typu NAND je. a) Konjunktní forma. b) Součinová forma. c) Disjunktní forma. d) Smíšená kombinace disjunktní a konjunktní formy. správné řešení: c 7. Výchozí formou při realizaci logické funkce pomocí hradel typu NOR je. a) Konjunktní forma. b) Součtová forma. c) Disjunktní forma. d) Smíšená kombinace disjunktní a konjunktní formy. správné řešení: a 8. Pravidla pro vytváření negací logických funkcí se nazývají. a) Mooreovy zákony. b) De Morganova pravidla. c) Mealyho pravidla. d) Shannonovy zákony. správné řešení: b 30

31 9. Základní balík pro obsluhu a naprogramování produktů od společnosti Xilinx se nazývá. a) Xilinx isim b) Xilinx iprog c) Xilinx ISE d) Xilinx impact správné řešení: c 10. Logické hradlo s názvem nor3b2 v prostředí schematického editoru označuje. a) Hradlo logického součtu se 3 přímými vstupy. b) Hradlo logického součtu s 3 negovanými vstupy. c) Hradlo logického součinu se 3 přímými vstupy. d) Hradlo negovaného logického součtu se 3 vstupy, z nichž 2 jsou negované. správné řešení: d 11. Logické hradlo s názvem nand2 v prostředí schematického editoru označuje. a) Hradlo logického součinu se 2 přímými vstupy. b) Hradlo logického součtu se 2 přímými vstupy. c) Hradlo negovaného logického součinu se 2 přímými vstupy. d) Hradlo negovaného logického součtu se 2 přímými vstupy. správné řešení: c 12. Logické hradlo s názvem xor4 v prostředí schematického editoru označuje. a) Hradlo negovaného logického součinu se 4 negovanými vstupy. b) Hradlo logické neekvivalence se 4 přímými vstupy. c) Hradlo negovaného logického součtu se 4 přímými vstupy. d) Hradlo logické ekvivalence se 4 přímými vstupy. správné řešení: b 31

32 13. Projekt v prostředí Xilinx ISE může obsahovat. a) Libovolný počet různých typů modulů. b) Pouze jeden modul. c) Více modulů avšak stejného typu. d) Maximálně dva moduly různých typů. správné řešení: a 32

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

Cvičení 1 Logická hradla

Cvičení 1 Logická hradla Cvičení 1 Logická hradla Prvním příkladem pro seznámení s logickými obvody bude realizace několika hradel. Pomocí tohoto návodu je naprogramujeme do přípravku Digilent Spartan-3 a vyzkoušíme přímo v praxi.

Více

TIA Selection Tool manuál pro použití

TIA Selection Tool manuál pro použití TIA Selection Tool manuál pro použití Verze 1 duben 2012 Obsah: Postup rychlé konfigurace a exportu stanice Detailní popis aplikace Siemens s. r. Protection o. 2012. Všechna notice / práva Copyright vyhrazena.

Více

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody P4 LOGICKÉ OBVODY I. Kombinační Logické obvody I. a) Základy logiky Zákony Booleovy algebry 1. Komutativní zákon duální forma a + b = b + a a. b = b. a 2. Asociativní zákon (a + b) + c = a + (b + c) (a.

Více

Postup instalace přídavného modulu pro Aktuální zůstatky (CBA) v programu MultiCash KB (MCC)

Postup instalace přídavného modulu pro Aktuální zůstatky (CBA) v programu MultiCash KB (MCC) Postup instalace přídavného modulu pro Aktuální zůstatky (CBA) v programu MultiCash KB (MCC) 1/6 1. Instalace modulu Na internetových stránkách KB na adrese http://www.mojebanka.cz v sekci Stáhněte si

Více

Základní popis Toolboxu MPSV nástroje

Základní popis Toolboxu MPSV nástroje Základní popis Toolboxu MPSV nástroje Nástroj XLS2DBF ze sady MPSV nástroje slouží pro zkonvertování souboru ve formátu XLS do formátu DBF. Nástroj umožňuje konvertovat buď vybraný list nebo listy ze sešitu

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Základní popis Toolboxu MPSV nástroje

Základní popis Toolboxu MPSV nástroje Základní popis Toolboxu MPSV nástroje Nástroj XLS2DBF ze sady MPSV nástroje slouží pro zkonvertování souboru ve formátu XLS do formátu DBF. Nástroj umožňuje konvertovat buď vybraný list nebo listy ze sešitu

Více

První kroky s METEL IEC IDE

První kroky s METEL IEC IDE První kroky s poskytuje programování v IEC 61131-3 jazycích, podporuje jak grafickou tak textovou podobu. Umožňuje vytvářet, upravovat a ladit IEC 61131-3 (ST, LD, IL, FBD) programy pro řídicí jednotky

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Stručný postup k použití programu PL7 Junior (programování TSX Micro)

Stručný postup k použití programu PL7 Junior (programování TSX Micro) Stručný postup k použití programu PL7 Junior (programování TSX Micro) 1. Připojení PLC TSX Micro k počítači Kabel, trvale zapojený ke konektoru TER PLC, je nutné zapojit na sériový port PC. 2. Spuštění

Více

Obsah. při vyšetření pacienta. GDT souboru do programu COSMED Omnia GDT souboru z programu COSMED Omnia a zobrazení výsledků měření v programu MEDICUS

Obsah. při vyšetření pacienta. GDT souboru do programu COSMED Omnia GDT souboru z programu COSMED Omnia a zobrazení výsledků měření v programu MEDICUS Obsah Napojení...3 programu COSMED Omnia Nastavení...3 MEDICUS Přidání...3 externího programu COSMED Omnia Přidání...4 ikony do panelu nástrojů Nastavení...5 COSMED Omnia Postup...5 při vyšetření pacienta

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1.

Více

Budovy a místnosti. 1. Spuštění modulu Budovy a místnosti

Budovy a místnosti. 1. Spuštění modulu Budovy a místnosti Budovy a místnosti Tento modul představuje jednoduchou prohlížečku pasportizace budov a místností VUT. Obsahuje detailní přehled všech budov a místností včetně fotografií, výkresů objektů, leteckých snímků

Více

POZOR!!! INSTALACE POD WINDOWS 200 / XP / VISTA PROBÍHÁ VE DVOU ETAPÁCH A JE NUTNÉ DOKON

POZOR!!! INSTALACE POD WINDOWS 200 / XP / VISTA PROBÍHÁ VE DVOU ETAPÁCH A JE NUTNÉ DOKON Program SK2 Připojení adaptérusk2 k počítači Propojte svůj počítač pomocí přiloženého propojovacího USB kabelu s adaptérem SK2. SK2 v prostředí Windows 2000 - XP - Vista - po propojení počítače s adaptérem

Více

Logické řízení výšky hladiny v nádržích

Logické řízení výšky hladiny v nádržích Popis úlohy: Spojené nádrže tvoří dohromady regulovanou soustavu. Přívod vody do nádrží je zajišťován čerpady P1a, P1b a P3 ovládaných pomocí veličin u 1a, u 1b a u 3, snímání výšky hladiny je prováděno

Více

UniLog-D. v1.01 návod k obsluze software. Strana 1

UniLog-D. v1.01 návod k obsluze software. Strana 1 UniLog-D v1.01 návod k obsluze software Strana 1 UniLog-D je PC program, který slouží k přípravě karty pro záznam událostí aplikací přístroje M-BOX, dále pak k prohlížení, vyhodnocení a exportům zaznamenaných

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena.

2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena. 2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena. GEOVAP, spol. s r. o. Čechovo nábřeží 1790 530 03 Pardubice Česká republika +420 466 024 618 http://www.geovap.cz V dokumentu použité názvy programových

Více

2.1.2 V následujícím dialogovém okně zvolte Instalovat ze seznamu či daného umístění. stiskněte tlačítko Další

2.1.2 V následujícím dialogovém okně zvolte Instalovat ze seznamu či daného umístění. stiskněte tlačítko Další Autodiagnostika ROBEKO Diagnostický kabel VAG1 VAGR1 Instalace pro operační systémy Windows 1. Úvod : Před použitím kabelu je nutné nejprve nainstalovat příslušné ovladače v operačním systému Vašeho počítače.

Více

Návod ke cvičení předmětu BPGA ControlLogix

Návod ke cvičení předmětu BPGA ControlLogix Návod ke cvičení předmětu BPGA ControlLogix ControlLogix Automat ControlLogix je výrobkem firmy Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce www.rockwellautomation.com.

Více

Uživatelský manuál. Format Convert V3.1

Uživatelský manuál. Format Convert V3.1 Uživatelský manuál Format Convert V3.1 Obsah Obsah 1 Kapitola 1 - Popis softwaru Systémové požadavky 2 Podporovaná zařízení a formáty 2 Odinstalace 3 Kapitola 2 - Ovládání Výběr formátu souboru 4 Výběr

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

Nápověda k používání mapové aplikace Katastrální mapy Obsah

Nápověda k používání mapové aplikace Katastrální mapy Obsah Nápověda k používání mapové aplikace Katastrální mapy Obsah Práce s mapou aplikací Marushka... 2 Přehledová mapa... 3 Změna měřítka... 4 Posun mapy... 5 Druhy map... 6 Doplňkové vrstvy... 7 Vyhledávání...

Více

Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN RESEARCH / DATA

Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN RESEARCH / DATA Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN RESEARCH / DATA Modul FADN RESEARCH je určen pro odborníky z oblasti zemědělské ekonomiky. Modul neomezuje uživatele pouze na předpřipravené

Více

Manuál k tvorbě absolventské práce

Manuál k tvorbě absolventské práce Manuál k tvorbě absolventské práce VLOŽENÍ ČÍSLA STRÁNKY... 2 OBRÁZKOVÝ NÁVOD PRO VKLÁDÁNÍ ČÍSEL STRÁNEK... 2 ŘÁDKOVÁNÍ 1,5... 3 OBRÁZKOVÝ NÁVOD PRO ŘÁDKOVÁNÍ... 3 ZAROVNÁNÍ TEXTU DO BLOKU... 4 OBRÁZKOVÝ

Více

Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN BASIC

Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN BASIC Internetový přístup do databáze FADN CZ - uživatelská příručka Modul FADN BASIC Modul FADN BASIC je určen pro odbornou zemědělskou veřejnost bez větších zkušeností s internetovými aplikacemi a bez hlubších

Více

Logické proměnné a logické funkce

Logické proměnné a logické funkce Booleova algebra Logické proměnné a logické funkce Logická proměnná je veličina, která může nabývat pouze dvou hodnot, označených 0 a I (tedy dvojková proměnná) a nemůže se spojitě měnit Logická funkce

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Přehledy pro Tabulky Hlavním smyslem této nové agendy je jednoduché řazení, filtrování a seskupování dle libovolných sloupců.

Přehledy pro Tabulky Hlavním smyslem této nové agendy je jednoduché řazení, filtrování a seskupování dle libovolných sloupců. Přehledy pro Tabulky V programu CONTACT Professional 5 naleznete u firem, osob a obchodních případů záložku Tabulka. Tuto záložku lze rozmnožit, přejmenovat a sloupce je možné definovat dle vlastních požadavků

Více

Mobilní aplikace. Uživatelský manuál

Mobilní aplikace. Uživatelský manuál Uživatelský manuál Obsah Základní informace a nastavení... 3 Nastavení přístupu... 4 Registrace docházky... 5 Editace vlastní docházky... 5 Ovládaní z mobilní aplikace... 6 Konfigurace mobilní aplikace...

Více

Mobilní aplikace. Uživatelský manuál

Mobilní aplikace. Uživatelský manuál Uživatelský manuál Obsah Základní informace a nastavení... 3 Nastavení přístupu... 4 Registrace docházky... 5 Editace vlastní docházky... 5 Ovládaní z mobilní aplikace... 6 Konfigurace mobilní aplikace...

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Jak vložit položku do GDSN

Jak vložit položku do GDSN Jak vložit položku do GDSN Tento návod popisuje postup vložení typické hierarchie balení (dále pouze hierarchie) do GDSN. Jedná se o sáček rýže Basmati s GTINem 8594182500257 (spotřebitelská jednotka)

Více

JAY Systém TELwork s.r.o. JAY Systém Klient. Uživatelská příručka ( ) JAYSystem Klient - Uživatelská příručka.docx Stránka: 1 / 15

JAY Systém TELwork s.r.o. JAY Systém Klient. Uživatelská příručka ( ) JAYSystem Klient - Uživatelská příručka.docx Stránka: 1 / 15 JAY Systém Klient Uživatelská příručka (14.3.2016) JAYSystem Klient - Uživatelská příručka.docx Stránka: 1 / 15 Obsah Obsah... 2 První spuštění aplikace... 3 Vložení licenčního čísla... 4 Spuštění služby...

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Vytvoření projektu pro desku DE2 v Altera Quartus Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Obsah Vytvoření projektu pro desku DE2 v Altera Quartus...

Více

Vzorce. Suma. Tvorba vzorce napsáním. Tvorba vzorců průvodcem

Vzorce. Suma. Tvorba vzorce napsáním. Tvorba vzorců průvodcem Vzorce Vzorce v Excelu lze zadávat dvěma způsoby. Buď známe přesný zápis vzorce a přímo ho do buňky napíšeme, nebo použijeme takzvaného průvodce při tvorbě vzorce (zejména u složitějších funkcí). Tvorba

Více

Motivace - inovace - zkušenost a vzdělávání

Motivace - inovace - zkušenost a vzdělávání EVROPSKÝ SOCIÁLNÍ FOND 17.3 - Motivace - inovace - zkušenost a vzdělávání PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI Klíčová aktivita č. 5 - Kurz a podpora a zkvalitnění výuky 3D počítačového modelování,

Více

CZ.1.07/2.2.00/ )

CZ.1.07/2.2.00/ ) Metody geoinženýrstv enýrství Ing. Miloš Cibulka, Ph.D. Brno, 2015 Cvičen ení č.. 3 Vytvořeno s podporou projektu Průřezová inovace studijních programů Lesnické a dřevařské fakulty MENDELU v Brně (LDF)

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

Nastavení programu pro práci v síti

Nastavení programu pro práci v síti Nastavení programu pro práci v síti Upozornění: následující text nelze chápat jako kompletní instalační instrukce - jedná se pouze stručný návod, který z principu nemůže popsat všechny možné stavy ve vašem

Více

IP kamera. Uživatelský manuál

IP kamera. Uživatelský manuál IP kamera Uživatelský manuál Upozornění: Tento manuál nemusí zcela přesně popisovat některé technické detaily nebo může obsahovat tiskařské chyby. Pokud byste nemohli vyřešit některé problémy pomocí tohoto

Více

Postupy práce se šablonami IS MPP

Postupy práce se šablonami IS MPP Postupy práce se šablonami IS MPP Modul plánování a přezkoumávání, verze 1.20 vypracovala společnost ASD Software, s.r.o. dokument ze dne 27. 3. 2013, verze 1.01 Postupy práce se šablonami IS MPP Modul

Více

Návod pro připojení k síti VŠPJ prostřednictvím VPN Vysoká škola polytechnická Jihlava

Návod pro připojení k síti VŠPJ prostřednictvím VPN Vysoká škola polytechnická Jihlava Návod pro připojení k síti VŠPJ prostřednictvím VPN Vysoká škola polytechnická Jihlava autor: OIKT 2015 Obsah Návod pro instalaci VPN... 3 Důležité upozornění... 3 Příprava na instalaci - stažení souborů...

Více

2. ÚVOD DO OVLÁDACÍ TECHNIKY

2. ÚVOD DO OVLÁDACÍ TECHNIKY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 2. ÚVOD DO OVLÁDACÍ TECHNIKY OVLÁDACÍ TECHNIKA A LOGICKÉ ŘÍZENÍ 2.1.5 LOGICKÉ FUNKCE Cíle: Po prostudování

Více

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1.

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1. Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM Manuál pro administrátory Verze 1.0 2012 AutoCont CZ a.s. Veškerá práva vyhrazena. Tento

Více

Stručný manuál pro webový editor. Ukládáni základních informací, tvorba menu

Stručný manuál pro webový editor. Ukládáni základních informací, tvorba menu Stručný manuál pro webový editor Ukládáni základních informací, tvorba menu Po přihlášení ( zadejte zaslané přihlašovací jméno a heslo ) se Vám zobrazí stránka, kde jsou následující údaje: 1. blok, který

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Průvodce instalací modulu Offline VetShop verze 3.4

Průvodce instalací modulu Offline VetShop verze 3.4 Průvodce instalací modulu Offline VetShop verze 3.4 Úvod k instalaci Tato instalační příručka je určena uživatelům objednávkového modulu Offline VetShop verze 3.4. Obsah 1. Instalace modulu Offline VetShop...

Více

Reliance 3 design OBSAH

Reliance 3 design OBSAH Reliance 3 design Obsah OBSAH 1. První kroky... 3 1.1 Úvod... 3 1.2 Založení nového projektu... 4 1.3 Tvorba projektu... 6 1.3.1 Správce stanic definice stanic, proměnných, stavových hlášení a komunikačních

Více

Nastavení stránky : Levým tlačítkem myši kliknete v menu na Soubor a pak na Stránka. Ovládání Open Office.org Draw Ukládání dokumentu :

Nastavení stránky : Levým tlačítkem myši kliknete v menu na Soubor a pak na Stránka. Ovládání Open Office.org Draw Ukládání dokumentu : Ukládání dokumentu : Levým tlačítkem myši kliknete v menu na Soubor a pak na Uložit jako. Otevře se tabulka, v které si najdete místo adresář, pomocí malé šedočerné šipky (jako na obrázku), do kterého

Více

UŽIVATELSKÁ PŘÍRUČKA PRO HOMEBANKING PPF banky a.s.

UŽIVATELSKÁ PŘÍRUČKA PRO HOMEBANKING PPF banky a.s. UŽIVATELSKÁ PŘÍRUČKA PRO HOMEBANKING PPF banky a.s. PPF banka a.s., Evropská 2690/17, P.O. Box 177, 160 41 Praha 6 1/15 Obsah: 1. Úvod... 3 2. Vygenerování Podpisového klíče a žádost o vygenerování Podpisového

Více

Roline USB 2.0 elektronický přepínač 2:1 (4:1)

Roline USB 2.0 elektronický přepínač 2:1 (4:1) Roline USB 2.0 elektronický přepínač 2:1 (4:1) Katalogové číslo: 14.01.2335, 14.01.2336 Balení 1x 2-portový (14.01.2335) nebo 4-portový (14.01.2336) USB 2.0 elektronický přepínač 1x 1,8 m USB kabel typ

Více

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9 Analýza elektronických obvodů programem PSpice 9 3 Editor Capture U editoru Capture závisí nabídka hlavní lišty na tom, které okno pracovní plochy je aktivované. V dalším textu budou popsány jen ty položky,

Více

Návod na práci s katalogem konstrukcí a materiálů Obsah

Návod na práci s katalogem konstrukcí a materiálů Obsah Návod na práci s katalogem konstrukcí a materiálů Obsah Vyhledávání údajů o materiálu... 2 Porovnávání materiálů... 4 Tvorba a editace... 5 Vytvoření materiálu... 5 Vytvořit nový materiál... 6 Vytvoř z

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Instalace a aktivace 3E pluginů pro SketchUp 2015 Rychlý průvodce instalací a aktivací pluginů: 3E Parametrické tvary, 3E Doors&Windows a 3E Katalog.

Instalace a aktivace 3E pluginů pro SketchUp 2015 Rychlý průvodce instalací a aktivací pluginů: 3E Parametrické tvary, 3E Doors&Windows a 3E Katalog. Instalace a aktivace 3E pluginů pro SketchUp 2015 Rychlý průvodce instalací a aktivací pluginů: 3E Parametrické tvary, 3E Doors&Windows a 3E Katalog. 1. Stáhněte instalační soubor vybraného 3E pluginu

Více

Pokyny pro obsluhu programu. EZZ01 File reader 1.3

Pokyny pro obsluhu programu. EZZ01 File reader 1.3 www. první-saz.cz Pokyny pro obsluhu programu EZZ01 File reader 1.3 příloha k TP SaZ 3/01 1. Instalace programu EZZ01 File reader 1.3 do počítače Program EZZ01 File reader 1.2 pracuje s operačními systémy

Více

PŘÍKAZ K ZADÁNÍ SEPA PLATBY V APLIKACI MULTICASH KB

PŘÍKAZ K ZADÁNÍ SEPA PLATBY V APLIKACI MULTICASH KB V rámci instalace MultiCash KB je SEPA modul její součástí od poloviny roku 2010 (v3.21 a vyšší). Dodavatel softwaru (fy. MD Praha) doporučuje minimálně verzi 3.22 a vyšší. Pokud máte verzi nižší, kontaktujte

Více

Vzdálené připojení do sítě ČEZ VPN Cisco AnyConnect

Vzdálené připojení do sítě ČEZ VPN Cisco AnyConnect Vzdálené připojení do sítě ČEZ VPN Cisco AnyConnect Návod pro instalaci potřebných komponent a jejich nastavení pro vzdálené připojení pomocí VPN Cisco Any Connect v prostředí OS Android ( chytré mobilní

Více

Návod pro řešení typických problémů se stabilitou AMČR

Návod pro řešení typických problémů se stabilitou AMČR Návod pro řešení typických problémů se stabilitou AMČR (poslední aktualizace 26. 10. 2017) Archeologický mapa ČR (AMČR) je aplikace využívající pro svůj běh prostředků platformy Java. Správná instalace

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Motivace - inovace - zkušenost a vzdělávání

Motivace - inovace - zkušenost a vzdělávání EVROPSKÝ SOCIÁLNÍ FOND 17.3 - Motivace - inovace - zkušenost a vzdělávání PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI Klíčová aktivita č. 5 - Kurz a podpora a zkvalitnění výuky 3D počítačového modelování,

Více

2017 CARAT "New design"

2017 CARAT New design 2017 CARAT "New design" Stručný průvodce verzí CARAT New Design Tato příručka poskytuje informace o základech programu CARAT New Design. Další podrobné informace jsou k dispozici na úvodní stránce online

Více

Postup přechodu na podporované prostředí. Přechod aplikace BankKlient na nový operační systém formou reinstalace ze zálohy

Postup přechodu na podporované prostředí. Přechod aplikace BankKlient na nový operační systém formou reinstalace ze zálohy Postup přechodu na podporované prostředí Přechod aplikace BankKlient na nový operační systém formou reinstalace ze zálohy Obsah Zálohování BankKlienta... 3 Přihlášení do BankKlienta... 3 Kontrola verze

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

Herní klávesnice-návod k použití

Herní klávesnice-návod k použití Herní klávesnice-návod k použití Systémové požadavky: Windows XP/Windows Vista/Windows 7 PC s vestavěným USB Portem (1.1/2.0) jednotka CD-ROM 50 mb volného místa na pevném disku Instalace: Nejprve připojte

Více

ÚLOHA 6. Úloha 6: Stěžejní body tohoto příkladu:

ÚLOHA 6. Úloha 6: Stěžejní body tohoto příkladu: Úloha 6: Stěžejní body tohoto příkladu: - Definování tabule plechu - Manuální nesting - vkládání - Expert-parametry pro nastavení automatického zpracování - Provedení automatického Expert zpracování -

Více

2 ZAPOJENÍ, OŽIVENÍ A PROGRAMOVÁNÍ SYSTÉMOVÉ

2 ZAPOJENÍ, OŽIVENÍ A PROGRAMOVÁNÍ SYSTÉMOVÉ 2 ZAPOJENÍ, OŽIVENÍ A PROGRAMOVÁNÍ SYSTÉMOVÉ INSTALACE EGO-N 2.1 Úvod Studenti by se měli seznámit s funkcemi na přípravku charakterizující jednoduché inteligentní sběrnicový systém Ego-n firmy ABB. Úkolem

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Obsah Přehled existujících a evidence nových klientů... 3 Přehled foto-záznamů... 4 Nahrávání foto-záznamů... 6 Analýza foto-záznamů...

Obsah Přehled existujících a evidence nových klientů... 3 Přehled foto-záznamů... 4 Nahrávání foto-záznamů... 6 Analýza foto-záznamů... 1 Obsah 1. Přehled existujících a evidence nových klientů... 3 1.1. Filtrování, vyhledávání údajů... 4 2. Přehled foto-záznamů... 4 3. Nahrávání foto-záznamů... 6 3.1. Změna velikosti foto-záznamu... 7

Více

Uživatelská příručka Autor: Martin Fiala

Uživatelská příručka Autor: Martin Fiala 1 Uživatelská příručka Autor: Martin Fiala Vzhledem k tomu, že navržený program nefunguje samostatně a jedná se pouze o část implementovanou do pluginu BJ2NB vyvíjeného na Vysoké škole ekonomické, je nutné

Více

Tvorba kurzu v LMS Moodle

Tvorba kurzu v LMS Moodle Tvorba kurzu v LMS Moodle Před počátkem práce na tvorbě základního kurzu znovu připomínám, že pro vytvoření kurzu musí být profil uživatele nastaven administrátorem systému minimálně na hodnotu tvůrce

Více

Hlavní okno aplikace

Hlavní okno aplikace Hlavní okno aplikace Ovládací prvky mapy Základní ovládací panel Panely pro ovládání jednotlivých funkcí aplikace jsou zobrazeny/skryty po kliknutí na záhlaví příslušného panelu. Vrstvy Seznam vrstev slouží

Více

VComNet uživatelská příručka. VComNet. Uživatelská příručka Úvod. Vlastnosti aplikace. Blokové schéma. «library» MetelCom LAN

VComNet uživatelská příručka. VComNet. Uživatelská příručka Úvod. Vlastnosti aplikace. Blokové schéma. «library» MetelCom LAN VComNet Uživatelská příručka Úvod Aplikace VComNet je určena pro realizaci komunikace aplikací běžících na operačním systému Windows se zařízeními, které jsou připojeny pomocí datové sběrnice RS485 (RS422/RS232)

Více

Funkce jednotlivých tlačítek se mohou měnit podle toho, na jaké úrovni menu se právě nacházíte; vysvětlení viz následující tabulka.

Funkce jednotlivých tlačítek se mohou měnit podle toho, na jaké úrovni menu se právě nacházíte; vysvětlení viz následující tabulka. 5. Přehled použití Snímač a vysílač průtoku FlowX3 F9.02 je jako všechny ostatní přístroje řady X3 vybaven digitálním displejem a klávesnicí s pěti tlačítky, které slouží k nastavení, kalibraci a ovládání

Více

SB485. Převodník rozhraní USB na linku RS485 nebo RS422. s galvanickým oddělením. Převodník SB485. RS485 nebo RS422 USB. přepínače PWR TXD RXD

SB485. Převodník rozhraní USB na linku RS485 nebo RS422. s galvanickým oddělením. Převodník SB485. RS485 nebo RS422 USB. přepínače PWR TXD RXD Převodník rozhraní USB na linku RS485 nebo RS422 s galvanickým oddělením Převodník SB485 PWR USB K1 TXD RXD K2 RS485 nebo RS422 přepínače POPIS Modul SB485 je určen pro převod rozhraní USB na linku RS485

Více

Manuál k programu KaraokeEditor

Manuál k programu KaraokeEditor Manuál k programu KaraokeEditor Co je KaraokeEditor? Program slouží pro editaci tagů v hudebních souborech formátu mp3. Tagy jsou doprovodné informace o písni, uložené přímo v mp3. Aplikace umí pracovat

Více

Zóny a pravidla UŽIVATELSKÁ PŘÍRUČKA

Zóny a pravidla UŽIVATELSKÁ PŘÍRUČKA Zóny a pravidla UŽIVATELSKÁ PŘÍRUČKA Verze 4.1.30 10/2014 Obsah Zóny... 2 Omezení modulu Zóny a pravidla... 2 Vstup do modulu Zóny a pravidla... 3 Karta zóny... 3 Vytvoření nové zóny... 3 Editace zóny...

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

Návod pro práci s aplikací

Návod pro práci s aplikací Návod pro práci s aplikací NASTAVENÍ FAKTURACÍ...1 NASTAVENÍ FAKTURAČNÍCH ÚDA JŮ...1 Texty - doklady...1 Fakturační řady Ostatní volby...1 Logo Razítko dokladu...2 NASTAVENÍ DALŠÍCH ÚDA JŮ (SEZNAMŮ HODNOT)...2

Více

GEOGRAFICKÉ INFORMAČNÍ SYSTÉMY CVIČENÍ 4

GEOGRAFICKÉ INFORMAČNÍ SYSTÉMY CVIČENÍ 4 UNIVERZITA TOMÁŠE BATI VE ZLÍNĚ FAKULTA APLIKOVANÉ INFORMATIKY GEOGRAFICKÉ INFORMAČNÍ SYSTÉMY CVIČENÍ 4 Praktické zvládnutí software Geomedia Pavel Vařacha a kol. Zlín 2013 Tento studijní materiál vznikl

Více

Pro vyúčtování pojišťovnám se používá jednoduchý průvodce, který Vás provede celým vyúčtováním. Pro tvorbu dávek platí:

Pro vyúčtování pojišťovnám se používá jednoduchý průvodce, který Vás provede celým vyúčtováním. Pro tvorbu dávek platí: Tento návod slouží jako ucelený pohled pro vytvoření dávek pro pojišťovny. Neklade si za cíl detailně popsat jednotlivá okna a veškeré dostupné možnosti a funkce, které by mohly celý postup spíše znepřehlednit.

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

Kontingenční tabulky v MS Excel 2010

Kontingenční tabulky v MS Excel 2010 Kontingenční tabulky v MS Excel 2010 Autor: RNDr. Milan Myšák e-mail: milan.mysak@konero.cz Obsah 1 Vytvoření KT... 3 1.1 Data pro KT... 3 1.2 Tvorba KT... 3 2 Tvorba KT z dalších zdrojů dat... 5 2.1 Data

Více

Tvorba dávek. Uživatelská příručka

Tvorba dávek. Uživatelská příručka Tvorba dávek Uživatelská příručka Návod Dokumentace Poslední aktualizace: 27.9.2013 Tento návod slouží jako ucelený pohled pro vytvoření dávek pro pojišťovny. Neklade si za cíl detailně popsat jednotlivá

Více

Mini PC ITV26. Návod k použití

Mini PC ITV26. Návod k použití Návod k použití Poslední revize: 13. 6. 2013 Obsah Spuštění... 3 Připojení napájení... 3 Připojení příslušenství... 3 Připojení televize... 3 Připojení myši... 4 Způsob použití... 4 Připojení k internetu...

Více

Connect Genius V2. Instalace programu.

Connect Genius V2. Instalace programu. Connect Genius V2 Program připojíte k PC přes RS 232. Instalace programu. Vložte CD do PC a automaticky se nabídne instalační program. Otevřete instalační program a klikněte dvojklikem na setup.exe a program

Více

GREE Text Parser. GREE Diagnostický program - manuál

GREE Text Parser. GREE Diagnostický program - manuál GREE Text Parser GREE Diagnostický program - manuál 1. Popis programu 1.1 Diagnostické nástroje aplikace 1.2 Vlastnosti programu Obsah 2. Hardwarové elektro propojení 2.1 Popis propojení 2.2 Způsoby zapojení

Více

Mobilní aplikace NÁVOD

Mobilní aplikace NÁVOD NÁVOD www.aktion.cz NASTAVENÍ V APLIKACI AKTION.NEXT je zjednodušená varianta webové aplikace a slouží pro evidenci docházky uživatel může ručně zadávat průchody, prohlížet svůj osobní výkaz, vzdáleně

Více