SYSTÉMY NAČIPU MI-SOC

Rozměr: px
Začít zobrazení ze stránky:

Download "SYSTÉMY NAČIPU MI-SOC"

Transkript

1 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti SYSTÉMY NAČIPU MI-SOC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana Kubátová MI-SOC 2011/12 1

2 CO JE SOC SYSTEM ON CHIP? SoC, SOC, System-on-a-chip, system on chip Integruje všechny komponenty počítače (elektronického systému) do jednoho integrovaného obvodu Obsahuje číslicové, analogové, mixed-signal bloky, DSP součástky, periferie, paměti Typická aplikace ve vestavných systémech (embedded) Více procesorů (kontrast oproti mikrokontrolerům) multiprocessor System-on-Chip (MPSoC) Redukce ceny, optimalizace 2

3 STRUKTURA Procesor (nebo více procesorů) ASIP, DSP Paměťové bloky RAM, ROM, EEPROM, flash Zdroje časování (oscilátory, fázové smyčky) Čítače-časovače, real-timovéčasovače, power-on reset generátory Externí interface USB, FireWire, Ethernet, USART, SPL Analogový interface AD-DA převodníky Regulátory napětí a příkonu Propojení standardní průmyslové sběrnice AMBA (Advanced Microcontroller Bus Architecture, fy ARM) 3

4 STRUKTURA A NÁVRH SoC hardware i software SW řídí procesorová jádra, periferie a interface Návrh SoC paralelně HW i SW Často skládání HW bloků, jader, driverů problém CAD nástrojů Blok programovatelného hardwaru FPGA Verifikace funkční (HDL, SystemVerilog, SystemC, OpenVera 4

5 VÝROBA Různé technologie: ASIC plně zákaznický návrh Standardní bloky jádra Programovatelný HW FPGA Menší spotřeba Vyšší spolehlivost Nižší cena Kombinace více menších čipů (vyšší výtěžnost, nižší cena vývoje, návrhu a testování) 5

6 Hana Kubátová MI-SOC 2011/12 6 Zdroj:

7 Hana Kubátová MI-SOC 2011/12 7

8 Hana Kubátová MI-SOC 2011/12 8

9 OBSAH A CÍLE PŘEDMĚTU 1. Charakteristika, požadavky, granularita, možnosti realizace. 2. Komunikace na čipu, latence, propustnost, architektury. 3. Dekompozice na programové a technické vybavení, prozkoumávání návrhového prostoru. 4. Časování na úrovni systému, algoritmy plánování, architektury spouštěné časem. 5. Operační systémy reálného času, architektura, realizace synchronizačních primitiv, rozhraní. 6. Programování pro reálný čas, programové vybavení odolné proti následkům chyb. 7. Časování a synchronizace technického vybavení, modely přesné na takt komunikace, zjemňování modelů. 9

10 OBSAH A CÍLE PŘEDMĚTU 8. Sítě na čipu (NoC), realizace směrovacích algoritmů. 9. Opakovaná použitelnost, nakupované makrobloky, standardy provozních a testovacích rozhraní. 10. Metody verifikace systémů na čipu. Aserce, jazyky specifikace vlastností, kontrola modelu. Verifikace simulací, sledování ařízení pokrytí, náhodné stimuly. 11. Verifikace protokolů, automatů, rozhraní, datových cest. Verifikace kontrolou ekvivalence. Metody verifikace programového vybavení, souběžná verifikace programového a technického vybavení. 12. Testování SoC 13. Systémy odolné proti poruchám. zdroje: konference DATE, DAC, VLSI, ICCAD Část přednášek předmětu Ing. Schmidta X36MNO 10

11 TO JE ZVLÁŠTNÍ NA HARDWARU? Pracuje paralelně, pořád výkon, ale i komplikace návrhu Cílové prostředí není lineární sekvence instrukcí, ale 2,5D prostor (více 2D ploch navrstvených) výkon, ale i komplikace návrhu Prostorové vztahy hrají roli 11

12 NÁVRH OBVODŮ JAKO... Pracovní postup prostředí realizace (progr. obvody, platformy, zákaznické obvody) strategie, návrhové styly, potřebné kroky Předmět počítačové podpory EDA: Electronic Design Automation architektury SW algoritmy 12

13 JAK ZVLÁDÁME HW NÁVRH Odlišení domény chování, struktury a fyzické implementace Hierarchický popis Dekompozice obvodu (paralelně) Dekompozice algoritmů (sériově) Analytické a syntetické kroky Důkladnější algoritmy (jinak to prostě nejde) 13

14 HIERARCHICKÝ POPIS Celek je složen z částí, části z menších částí... úrovně hierarchie Složitost popisu na každé úrovni dána schopností lidí (a strojů) vnímat žádná funkce nemá mít víc jak 500 řádek, každé schéma se musí vejít na papír A2,... 14

15 DOMÉNY POPISU + HIERARCHIE = Y-DIAGRAM chování algoritmus automat log. výraz ALU hradlo CPU struktura fyzická realizace 15

16 O ČEM SE VLASTNĚ BAVÍME? Chování obvodu: co to dělá zápis algoritmu, automatový popis, logické rovnice a výrazy, diferenciální rovnice Struktura obvodu: jak je složen z částí popis zapojení schématem, textem ( netlist ) Fyzická realizace: jak je postaven v určené technologii popis geometrie prvků obvodu (např. Gerber, GDSII) 16

17 DEKOMPOZICE OBVODU nejčastější: funkční dekompozice dekompozice chování (funkce) podobná dekompozici software přiřazení struktury části chování sériový protokol jednotka UART (1:1) všechny operace násobení číslicového filtru 2 násobičky (m:n) volitelně: přiřazení části fyzického prostoru (floorplanning) 17

18 DEKOMPOZICE ALGORITMU Příklad: navrhnout plošný spoj pro dané schéma rozmístit součástky tak, aby se to pak dobře propojilo navrhnout propojení když se to dobře nepropojí, korigovat rozmístění 18

19 DEKOMPOZICE ALGORITMU POKRAČOVÁNÍ Většina úloh není zvládnutelná v jediném kroku Dekompozice na postupné zvládnutelné kroky. nezaručuje optimální výsledek Předchozí krok vyžaduje odhad obtížnosti kroku následujícího Možnost iterace 19

20 DEKOMPOZICE NÁVRHU algoritmus syntéza schéma z realizovatelných prvků fyzický (konstrukční) návrh realizační podklady chování struktura 20 fyzická realizace

21 SYNTÉZA algoritmus syntéza behaviorální, vyšší syntéza popis na úrovni meziregistrových přenosů (RTL) schéma z realizovatelných prvků logická, RTL syntéza 21

22 RT ÚROVEŇ popis chování: podmínka: rega op regb regc popis struktury: datová cesta registry, paměti operační jednotky (kombinační) řídící část automat 22

23 MAKROBLOKY, IP CORES (ČILI KÓRY ) Problém: jak dodat část návrhu, aby byla flexibilní bylo možno zaručit parametry chránila intelektuální vlastnictví Kompromisní řešení soft cores struktura, vyšší úroveň abstrakce hard cores struktura a fyzická realizace 23

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 9 SYSTÉMOVÝ NÁVRH, IP-CORES doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze

Více

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI 7. Pracovní postupy Posloupnosti analytických a syntetických

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

9. Praktická verifikace

9. Praktická verifikace Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt 9. Praktická verifikace EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI Pravidla, postupy Testovací prostředí

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 8 SÍTĚ NAČIPU (NOC) doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 11 METODY VERIFIKACE SYSTÉMŮ NA ČIPU Hana Kubátov vá doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta 1 informačních

Více

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Organizace předmětu, podmínky pro získání klasifikovaného zápočtu Kurz A0B38FPGA Aplikace

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Boundary scan Testování SoC a NoC

Boundary scan Testování SoC a NoC Boundary scan Testování SoC a NoC Testování a spolehlivost ZS 2011/2012, 7. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální fond

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Přednášky o výpočetní technice. Hardware teoreticky. Adam Dominec 2010

Přednášky o výpočetní technice. Hardware teoreticky. Adam Dominec 2010 Přednášky o výpočetní technice Hardware teoreticky Adam Dominec 2010 Rozvržení Historie Procesor Paměť Základní deska přednášky o výpočetní technice Počítací stroje Mechanické počítačky se rozvíjely už

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

Architektury počítačů

Architektury počítačů Architektury počítačů skupina Identifyingvýzkumná the Interesting Points in Geometrical Figures of Certain Class Vysoké učení technické v Brně, Fakulta informačních technologií, Božetěchova 2, 612 66 Brno

Více

Maturitní témata - PRT 4M

Maturitní témata - PRT 4M Maturitní témata - PRT 4M ústní zkouška profilové části Maturita - školní rok 2015/2016 1. Architektura mikrořadičů a PC 2. Popis mikrořadičů řady 51 3. Zobrazovací jednotky 4. Řadiče Atmel 5. Hradlová

Více

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ POČÍTAČOVÉ ŘÍENÍ TECHNOLOGICKÝCH PROCESŮ účel a funkce základní struktury technické a programové vybavení komunikace s operátorem zavádění a provoz počítačového řízení Počítačový řídicí systém Hierarchická

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

Jakub Š astný FPGA prakticky Realizace èíslicových systémù pro programovatelná hradlová pole Praha 2010 Tato publikace je vìnována praktickým aspektùm návrhu èíslicových systémù. Spíše, než popisu jazyka

Více

INFORMAČNÍ A KOMUNIKAČNÍ TECHNOLOGIE

INFORMAČNÍ A KOMUNIKAČNÍ TECHNOLOGIE Název školy: Střední odborná škola stavební Karlovy Vary Sabinovo náměstí 16, 360 09 Karlovy Vary Autor: Ing. Hana Šmídová Název materiálu: VY_32_INOVACE_12_HARDWARE_S1 Číslo projektu: CZ 1.07/1.5.00/34.1077

Více

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ POČÍTAČOVÉ ŘÍENÍ TECHNOLOGICÝCH PROCESŮ účel a funkce základní struktury technické a programové vybavení komunikace s operátorem zavádění a provoz počítačového řízení Hierarchická struktura řídicího systému

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů )

Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky Architekura mikroprocesoru AVR ATMega ( Pokročilé architektury počítačů ) Führer Ondřej, FUH002 1. AVR procesory obecně

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

architektura mostů severní / jižní most (angl. north / south bridge) 1. Čipové sady s architekturou severního / jižního mostu

architektura mostů severní / jižní most (angl. north / south bridge) 1. Čipové sady s architekturou severního / jižního mostu Čipová sada Čipová sada (chipset) je hlavní logický integrovaný obvod základní desky. Jeho úkolem je řídit komunikaci mezi procesorem a ostatními zařízeními a obvody. V obvodech čipové sady jsou integrovány

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

Hardwarové zpracování obrazu

Hardwarové zpracování obrazu Hardwarové zpracování obrazu Cíle kapitoly: Zpracování obrazu na vývojové desce TI DaVinci řešící náročné výpočty v reálném čase 1 Teoretický úvod Prakticky můžeme zpracování obrazu rozdělit na zpracování

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ

POČÍTAČOVÉ ŘÍZENÍ TECHNOLOGICKÝCH PROCESŮ POČÍTAČOVÉ ŘÍENÍ TECHNOLOGICKÝCH PROCESŮ účel a funkce základní struktury technické a programové vybavení komunikace s operátorem zavádění a provoz počítačového řízení Počítačový řídicí systém H iera rc

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

MSP 430F1611. Jiří Kašpar. Charakteristika

MSP 430F1611. Jiří Kašpar. Charakteristika MSP 430F1611 Charakteristika Mikroprocesor MSP430F1611 je 16 bitový, RISC struktura s von-neumannovou architekturou. Na mikroprocesor má neuvěřitelně velkou RAM paměť 10KB, 48KB + 256B FLASH paměť. Takže

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Vestavný modul pro počítačové vidění využívající hradlové pole

Vestavný modul pro počítačové vidění využívající hradlové pole Vestavný modul pro počítačové vidění využívající hradlové pole Diplomová práce, 8.6. 2011 Bc. Jan Šváb ČVUT Praha, Fakulta Elektrotechnická Obsah prezentace Motivace HW řešení modulu FPGA konfigurace Klíčové

Více

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks.

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks. Workshop Vývoj embedded aplikací v systému MATLAB a Simulink Jiří Sehnal sehnal@humusoft.cz www.humusoft.cz info@humusoft.cz www.mathworks.com 1 Obsah workshopu Model Based Design model soustavy a regulátoru

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Metody připojování periferií BI-MPP Přednáška 1

Metody připojování periferií BI-MPP Přednáška 1 Metody připojování periferií BI-MPP Přednáška 1 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o.

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o. Zpracování obrazu v FPGA Leoš Maršálek ATEsystem s.r.o. Základní pojmy PROCESOROVÉ ČIPY Křemíkový čip zpracovávající obecné instrukce Různé architektury, pracují s různými paměti Výkon instrukcí je závislý

Více

MATURITNÍ OTÁZKY ELEKTROTECHNIKA - POČÍTAČOVÉ SYSTÉMY 2003/2004 TECHNICKÉ VYBAVENÍ POČÍTAČŮ

MATURITNÍ OTÁZKY ELEKTROTECHNIKA - POČÍTAČOVÉ SYSTÉMY 2003/2004 TECHNICKÉ VYBAVENÍ POČÍTAČŮ MATURITNÍ OTÁZKY ELEKTROTECHNIKA - POČÍTAČOVÉ SYSTÉMY 2003/2004 TECHNICKÉ VYBAVENÍ POČÍTAČŮ 1) INFORMACE VE VÝPOČETNÍ TECHNICE 3 2) POČÍTAČOVÉ ARCHITEKTURY, POČÍTAČ JAKO ČÍSLICOVÝ STROJ 3 3) SIGNÁLY 3

Více

Direct Digital Synthesis (DDS)

Direct Digital Synthesis (DDS) ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Direct Digital Synthesis (DDS) Přímá číslicová syntéza Tyto materiály vznikly za podpory

Více

Real Time programování v LabView. Ing. Martin Bušek, Ph.D.

Real Time programování v LabView. Ing. Martin Bušek, Ph.D. Real Time programování v LabView Ing. Martin Bušek, Ph.D. Úvod - související komponenty LabVIEW development Konkrétní RT hardware - cíl Použití LabVIEW RT module - Pharlap ETS, RTX, VxWorks Možnost užití

Více

Struktura a architektura počítačů (BI-SAP) 1

Struktura a architektura počítačů (BI-SAP) 1 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 1 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Náplň přednášky 1. Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma

Náplň přednášky 1. Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma 4 Přednáška 1 Náplň přednášky 1 Vestavěný systém Výrobci technických řešení Mikrokontroléry ARM NXP Kinetis KL25Z Rapid prototyping Laboratorní vývojová platforma 5 www.vsb.cz Vestavěný řídicí systém Anglicky:

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

ALGORITMY ČÍSLICOVÉHO ZPRACOVÁNÍ SIGNÁLŮ

ALGORITMY ČÍSLICOVÉHO ZPRACOVÁNÍ SIGNÁLŮ Bohumil BRTNÍK, David MATOUŠEK ALGORITMY ÈÍSLICOVÉHO ZPRACOVÁNÍ SIGNÁLÙ Praha 2011 Tato monografie vznikla pøedevším pro podporu výuky oboru Poèítaèové systémy na Vysoké škole polytechnické v Jihlavì.

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

Programovatelné automaty SIMATIC S7 a S5

Programovatelné automaty SIMATIC S7 a S5 Programovatelné automaty SIMATIC S7 a S5 ST-7UEBER přehledové školení zaměřené na PLC SIMATIC S7 délka kurzu 1 den - Přehled a výkonové charakteristiky automatizačních a programovacích zařízení - Struktura,

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: automatizační technika 1. Senzory 2. S7-1200, základní pojmy 3. S7-1200, bitové instrukce 4. S7-1200, časovače, čítače 5. Vizualizační systémy 6. S7-1200,

Více

Pokročilé architektury počítačů

Pokročilé architektury počítačů Pokročilé architektury počítačů Architektura IO podsystému České vysoké učení technické, Fakulta elektrotechnická A4M36PAP Pokročílé architektury počítačů Ver.1.00 2010 1 Co je úkolem? Propojit jednotlivé

Více

Algoritmická syntéza. Rychlý návrh DSP systémů. Ing. Jakub Št astný, Ph.D.

Algoritmická syntéza. Rychlý návrh DSP systémů. Ing. Jakub Št astný, Ph.D. Algoritmická syntéza Rychlý návrh DSP systémů Ing. Jakub Št astný, Ph.D. http://amber.feld.cvut.cz/fpga/prednasky/hll_synteza/hlls.html Osnova přednášky 1 Motivace Vývoj polovodičů Konverze DSP algoritmu

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Literatura W.Stallings: Computer Organization & Architecture J.L.Hennessy, P.A.Patterson: Patterson: Computer Architecture: a Quantitative Approach

Více

Optika v počítačovém vidění MPOV

Optika v počítačovém vidění MPOV Optika v počítačovém vidění MPOV Rozvrh přednášky: 1. A/D převod 2. zpracování obrazu 3. rozhraní kamer 4. další související zařízení 5. motivace - aplikace Princip pořízení a zpracování obrazu Shoda mezi

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

Integrovaná střední škola, Sokolnice 496

Integrovaná střední škola, Sokolnice 496 Integrovaná střední škola, Sokolnice 496 Název projektu: Moderní škola Registrační číslo: CZ.1.07/1.5.00/34.0467 Název klíčové aktivity: V/2 - Inovace a zkvalitnění výuky směřující k rozvoji odborných

Více

Informace pro výběr bakalářského oboru

Informace pro výběr bakalářského oboru Informace pro výběr bakalářského oboru 2017.03.15 J. Matas Bakalářské obory informatika a počítačové vědy software internet věcí počítačové hry a grafika kapacita všech oborů je dostatečná pro volný výběr

Více

Profilová část maturitní zkoušky 2017/2018

Profilová část maturitní zkoušky 2017/2018 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2017/2018 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 78-42-M/01 Technické lyceum Předmět: TECHNIKA

Více

Počítač jako elektronické, Číslicové zařízení

Počítač jako elektronické, Číslicové zařízení Počítač jako elektronické, Číslicové Autor: Ing. Jan Nožička SOŠ a SOU Česká Lípa VY_32_INOVACE_1135_Počítač jako elektrornické, číslicové _PWP Název školy: Číslo a název projektu: Číslo a název šablony

Více

Profilová část maturitní zkoušky 2013/2014

Profilová část maturitní zkoušky 2013/2014 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2013/2014 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 78-42-M/01 Technické lyceum Předmět: TECHNIKA

Více

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 8 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Témata profilové maturitní zkoušky z předmětu Souborná zkouška z odborných elektrotechnických předmětů (elektronická zařízení, elektronika)

Témata profilové maturitní zkoušky z předmětu Souborná zkouška z odborných elektrotechnických předmětů (elektronická zařízení, elektronika) ta profilové maturitní zkoušky z předmětu Souborná zkouška z odborných elektrotechnických předmětů (elektronická zařízení, elektronika) 1. Cívky - vlastnosti a provedení, řešení elektronických stejnosměrných

Více

Převodník Ethernet ARINC 429

Převodník Ethernet ARINC 429 Převodník Ethernet ARINC 429 Bakalářská práce Tomáš Levora ČVUT FEL levortom@fel.cvut.cz Tomáš Levora (ČVUT FEL) Převodník Ethernet ARINC 429 levortom@fel.cvut.cz 1 / 25 Zadání Převádět data ze sběrnice

Více

1. Vývojový pracovník specialista na CAD SW pro návrh elektronických zařízení (Mentor Graphics, OrCad) (popis pozice viz příloha č.

1. Vývojový pracovník specialista na CAD SW pro návrh elektronických zařízení (Mentor Graphics, OrCad) (popis pozice viz příloha č. ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Technická 2, 166 27 Praha 6 V Praze, dne 1. 2. 2011 Laboratoře pro vývoj a realizaci při Fakultě elektrotechnické Českého vysokého učení technického

Více

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Název veřejné zakázky: Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Technická podmínka: Odůvodnění Zaškolení obsluhy:

Více

Virtualizace v architekturě počítačů Virtualization in architecture of computers

Virtualizace v architekturě počítačů Virtualization in architecture of computers Virtualizace v architekturě počítačů Virtualization in architecture of computers Michal Bílek * Abstrakt Vysoká škola polytechnická v Jihlavě využívá pro výuku odborných předmětů různé modely a virtualizace.

Více

Struktura a architektura počítačů (BI-SAP) 11

Struktura a architektura počítačů (BI-SAP) 11 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 11 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Hardware - komponenty počítačů Von Neumannova koncepce počítače. Von Neumannova koncepce počítače

Hardware - komponenty počítačů Von Neumannova koncepce počítače. Von Neumannova koncepce počítače V roce 1945 vystoupil na přednášce v USA matematik John von Neumann a představil architekturu samočinného univerzálního počítače (von Neumannova koncepce/schéma/architektura). Základy této koncepce se

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: technika počítačů 1. Kombinační logické obvody a. kombinační logický obvod b. analýza log. obvodu 2. Čítače a. sekvenční logické obvody b. čítače 3. Registry

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 6 PROGRAMOVÁNÍ PRO REÁLNÝ ČAS doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v

Více

Obsah. O autorovi 11 Předmluva 13 Zpětná vazba od čtenářů 14 Errata 14

Obsah. O autorovi 11 Předmluva 13 Zpětná vazba od čtenářů 14 Errata 14 Obsah O autorovi 11 Předmluva 13 Zpětná vazba od čtenářů 14 Errata 14 KAPITOLA 1 Úvod k počítači Raspberry Pi 15 Hardware 16 Mikroprocesor Broadcom 2835 / grafický procesor 16 Paměť 18 Konektory počítače

Více

Aplikovaná informatika

Aplikovaná informatika Vysoká škola polytechnická Jihlava Katedra elektrotechniky a informatiky Tematické okruhy pro státní závěrečné zkoušky oboru Aplikovaná informatika Tyto okruhy jsou platné pro studenty, kteří započali

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

Integrovaná střední škola, Sokolnice 496

Integrovaná střední škola, Sokolnice 496 Integrovaná střední škola, Sokolnice 496 Název projektu: Moderní škola Registrační číslo: CZ.1.07/1.5.00/34.0467 Název klíčové aktivity: III/2 - Inovace a zkvalitnění výuky prostřednictvím ICT Kód výstupu:

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

Přednáška. Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012

Přednáška. Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012 Přednáška Vstup/Výstup. Katedra počítačových systémů FIT, České vysoké učení technické v Praze Jan Trdlička, 2012 Příprava studijního programu Informatika je podporována projektem financovaným z Evropského

Více

Vana RC0001R1 RC0001R1

Vana RC0001R1 RC0001R1 Vana RC0001R1 Vana RC0001R1 má celkem 21 pozic o šířce čelního panelu 4 moduly. Je určena pro obecné použití s deskami systému Z102, který používá pro komunikaci mezi procesorovou deskou a obecnými I/O

Více

Y36SAP http://service.felk.cvut.cz/courses/y36sap/

Y36SAP http://service.felk.cvut.cz/courses/y36sap/ Y36SAP http://service.felk.cvut.cz/courses/y36sap/ Úvod Návrhový proces Architektura počítače 2007-Kubátová Y36SAP-Úvod 1 Struktura předmětu Číslicový počítač, struktura, jednotky a jejich propojení. Logické

Více

Systémy pro sběr a přenos dat

Systémy pro sběr a přenos dat Systémy pro sběr a přenos dat Centralizované SPD VME, VXI Compact PCI, PXI, PXI Express Sběrnice VME 16/32/64 bitová paralelní sběrnice pro průmyslové aplikace Počátky v roce 1981 neustále se vyvíjí původní

Více

Moderní nástroje pro vývoj elektronických řídicích jednotek

Moderní nástroje pro vývoj elektronických řídicích jednotek Moderní nástroje pro vývoj elektronických řídicích jednotek Jiří Sehnal Humusoft spol. s r.o. sehnal@humusoft.com EVV 2008 Automobilová elektronika Brno, 17. - 18. 6. 2008 Jiří Sehnal, Humusoft spol. s

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Střední průmyslová škola elektrotechniky, informatiky a řemesel, Frenštát pod Radhoštěm, příspěvková organizace Témata profilové maturitní zkoušky Obor: Elektrotechnika Třída: E4A Školní rok: 2010/2011

Více

IPLC5xx. Procesní stanice MiniPLC Shark. Shrnutí

IPLC5xx. Procesní stanice MiniPLC Shark. Shrnutí IPLC5xx Procesní stanice MiniPLC Shark Shrnutí Řada IPLC je rodina digitálních procesních stanic komunikativních DDC regulátorů s procesorem Power PC a operačním systémem Linux s verzí jádra 2.6. Všechny

Více

Vývoj a testování elektronických řídicích jednotek pro automobily

Vývoj a testování elektronických řídicích jednotek pro automobily Vývoj a testování elektronických řídicích jednotek pro automobily Jiří Sehnal Humusoft spol. s r.o. sehnal@humusoft.com EVV 2011 Automobilová elektronika Praha, 7. 6. 2011 Jiří Sehnal, Humusoft spol. s

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné N4444 Měřicí a řídicí technika 22/23 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automat Matematický základ logického řízení

Více

VINCULUM VNC1L-A. Semestrální práce z 31SCS Josef Kubiš

VINCULUM VNC1L-A. Semestrální práce z 31SCS Josef Kubiš VINCULUM VNC1L-A Semestrální práce z 31SCS Josef Kubiš Osnova Úvod Základní specifikace obvodu Blokové schéma Firmware Aplikace Příklady příkazů firmwaru Moduly s VNC1L-A Co to je? Vinculum je nová rodina

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEIII Paměti konstant

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEIII Paměti konstant Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEIII - 1.5 Paměti konstant Obor: Mechanik elektronik Ročník: 3. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je spolufinancován

Více

Počítač jako prostředek řízení. Struktura a organizace počítače

Počítač jako prostředek řízení. Struktura a organizace počítače Řídicí počítače - pro řízení technologických procesů. Specielní přídavná zařízení - I/O, přerušovací systém, reálný čas, Č/A a A/Č převodníky a j. s obsluhou - operátorské periferie bez obsluhy - operátorský

Více

Pokojový termostat řízený pomocí SMS zpráv v síti GSM

Pokojový termostat řízený pomocí SMS zpráv v síti GSM Pokojový termostat řízený pomocí SMS zpráv v síti GSM Prezentace bakalářské práce Tomáš Vondra České vysoké učení technické v Praze Fakulta elektrotechnická Katedra počítačů Červen 2009 Vedoucí práce:

Více

Školní vzdělávací program

Školní vzdělávací program STŘEDNÍ PRŮMYSLOVÁ ŠKOLA ELEKTROTECHNICKÁ, HAVÍŘOV PŘÍSPĚVKOVÁ ORGANIZACE Školní vzdělávací program pro žáky a další uchazeče, kteří ukončili povinnou školní docházku Dodatek č. 2 platný od 1. září 2016

Více

Úvod do mobilní robotiky AIL028

Úvod do mobilní robotiky AIL028 md at robotika.cz http://robotika.cz/guide/umor07/cs 11. října 2007 1 Definice Historie Charakteristiky 2 MCU (microcontroller unit) ATmega8 Programování Blikání LEDkou 3 Kdo s kým Seriový port (UART)

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

Struktura a architektura počítačů (BI-SAP) 7

Struktura a architektura počítačů (BI-SAP) 7 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 7 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti TESTOVÁNÍ SOC Hana Kubátová MI-SOC 2011 11/2012 1 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více