Analyzátor sbě rnice CAN

Rozměr: px
Začít zobrazení ze stránky:

Download "Analyzátor sbě rnice CAN"

Transkript

1 Č ESKÉ VYSOKÉ UČ ENÍ TECHNICKÉ Fakulta elektrotechnická Analyzátor sbě rnice CAN diplomová práce Roman Knížek PRAHA 2002

2 Souhlasím s využitím výsledků Diplomové práce Fakultou elektrotechnickou ČVUT a zároveň prohlašuji, že jsem předloženou Diplomovou práci včetně příloh vypracoval samostatně a uvedl v ní veškerou použitou literaturu. V Praze, dne Roman Knížek

3 Děkuji Ing. Jiřímu Novákovi, PhD. za pomoc a čas věnovaný vedení mé diplomové práce a své rodině za podporu při studiu.

4 Controler Area Network (CAN) is serial communacation protocol, which was originally developed by the company BOSH for automobil industry. It is projected to enable to provide distributed control of systems in the real time at the speed of 1 Mbit/s with high security from error transmisson. This thesis deals with an analyzer project for the monitoring of the proces on the bus CAN at level of the link protocol according to CAN specification 2.0B. The analyzer is based on hardware support of Programmable Logic Device (PLD) and communication with controlling computer (PC) by means of perspective interface Universal Serial Bus (USB).

5 Controller Area Network (CAN) je sériový komunikační protokol, který byl původně vyvinut firmou BOSCH pro nasazení v automobilovém průmyslu. Je navržen tak, aby umožnil provádět distribuované řízení systémů v reálném čase s přenosovou rychlostí do 1 Mbit/s, s vysokým zabezpečením přenosu proti chybám. Tato Diplomová práce se zabývá návrhem analyzátoru pro monitorování dějů na sběrnici CAN na úrovni linkového protokolu podle CAN specifikace 2.0B. Analyzátor je založen na hardwarové podpoře hradlovým polem a komunikaci s řídícím počítačem (PC) pomocí perspektivního rozhraní Universal Serial Bus (USB).

6 OBSAH 1. Úvod Motivace Očekávané vlastnosti analyzátoru Uspořádání kapitol Konvence 3 2. Sběrnice CAN a další Controller Area Network (CAN) Základní vlastnosti Fyzická vrstva Linková vrstva Způsoby zabezpečení a detekce chyb Signalizace chyb Zprávy linkového protokolu Hradlová pole Základní časové parametry Architektura hradlového pole ACEX 1K Integrované návrhové prostředí EMAX+plus II a jazyk AHDL Rozhraní Universal Serial Bus (USB) Topologie USB Přenos dat Napájení funkčních jednotek Přenosová rychlost USB Připojení funkční jednotky ke sběrnici Design analyzátoru v hradlovém poli Blokové schéma Výhody hierarchického přístupu Dekompozice CAN analyzátoru Funkce obvodů CAN analyzátoru Obvod Bit Destuffing (BDE) Obvod Cyclic Redundancy Check (CRC) Obvod Main Timer (MTR) Obvod Real Time Counter (RTC) Obvod Bit Counter (BIC) Obvod Bus Idle (BID) Obvod Data Read Unit (DRU) Obvod CAN Main Loop (CML) Obvod CAN End Loop (CEL) Obvod CAN Err Loop (CRL) Obvod Main Counter (MCT) Obvod Main Start Unit (MSU) Obvod SYNC Obvod Input Trigger (INT) Obvod Startup Unit (SUU) 59

7 OBSAH Obvod Output Trigger (OUT) Obvod Write To FIFO (WTF) CAN analyzátor jako virtuální součástka Schéma zapojení CAN analyzátoru Realizace drátového součtu hradlovým polem Popis signálů CAN analyzátoru Pracovní model CAN analyzátoru Periferie CAN analyzátoru Obvod pro řízení paměti DRAM driver (DRD) Obvod Intercom (ITC) Závěrečná podoba návrhu v hradlovém poli Hardwarový návrh analyzátoru Implementace v hradlovém poli Rámcový výběr obvodu Zlepšování časových parametrů návrhu Návrh analyzátoru Programovatelné pole ACEX EP1K Programování obvodu ACEX 1K Obvody pro řízení a komunikaci Jednočipový mikropočítač SCENIX SX52BD Jednočipový mikropočítač AT89C PDIUSBD12 zařízení rozhraní USB Blokové uspořádání hardware analyzátoru Blok zdrojů Konfigurační přepínače Budič sběrnice CAN Blok vstupního a výstupního triggeru Blok signalizace SX52BD AT89C Konfigurační svorkovnice Blok programování obvodu ACEX Blok rozhraní USB Zdroje hodinového kmitočtu Deska plošných spojů Software Ovladač zařízení USB s obvodem PDIUSBD Firmware procesoru AT89C Firmware procesoru SX52BD Datové toky v CAN analyzátoru Datový tok naměřených dat Zápis do vnitřní vyrovnávací paměti CAN analyzátoru 99

8 OBSAH 6.3 Zápis do paměti DRAM Přenos dat z paměti DRAM do PC Závěr Literatura 103 Příloha A 105 Příloha B Příloha C Příloha D Příloha E II II II II

9

10

11 ANALYZÁTOR SBĚ RNICE CAN Kapitola 1 Úvod Kapitola 1 předkládá základní přehled o předmětu diplomové práce, důvody které vedly k jejímu zadání a některé souvislosti, které jejímu zadání předcházely. Jsou zde nastíněny vlastnosti, které bychom od analyzátoru sběrnice očekávali. V závěru je uvedeno rozvržení kapitol a konvence. 1. Úvod

12 ÚVOD 1.1 Motivace Myšlenka na konstrukci analyzátoru sběrnice CAN vznikla na Katedře měření před téměř dvěma roky. Hlavním důvodem je, že průmyslově vyráběné řadiče sběrnice CAN jsou konstruovány jako inteligentní a umožňují pouze omezenou signalizaci chybových stavů, a tedy i omezené sledování sběrnice na úrovni linkového protokolu. Přibližně ve stejné době začíná tehdejší firma Scenix Semiconductors, Inc. (dnešní Ubicom, Inc.) nabízet nový levný jednočipový mikropočítač SCENIX s architekturou s redukovanou instrukční sadou (RISC) a výkonem až 50 MIPS (miliónů instrukcí za sekundu). Byl zadán semestrální projekt, jehož cílem byla realizace analyzátoru ve formě zásuvné ISA karty pro PC, pouze na bázi výše zmíněného procesoru. Výsledkem projektu byl funkční analyzátor, který umožňoval stavovou analýzu sběrnice CAN na úrovni linkového protokolu až do přenosové rychlosti 250 kbit/s. Měření reálného času, přidělování časových značek událostem, resynchronizace a možnost sledovat bitové rychlosti nad 250 kbit/s již překračovaly možnosti použitého procesoru. Závěrem tedy bylo, že realizace analyzátoru v souladu se specifikací CAN 2.0B čistě softwarovou cestou není s použitým procesorem možná a bude nutné využít hardwarové podpory. Na základě výše uvedených poznatků bylo formulováno zadání této Diplomové práce. 1.2 Očekávané vlastnosti analyzátoru Základní filozofie analyzátoru je velmi jednoduchá a je patrná z obrázku Obr. 1. Jedná se o zařízení, které se v libovolném místě a v libovolném okamžiku (tzn. nejsou kladeny žádné požadavky na stav sběrnice před připojením analyzátoru) připojí na sběrnici CAN, monitoruje veškeré děje a předává výsledky ke zpracování do počítače typu PC. Formát předávaných dat je takový, aby bylo možno zrekonstruovat provoz na sběrnici včetně časových souvislostí. Jako komunikační kanál mezi analyzátorem a PC bylo vybráno perspektivní rozhraní Universal Serial Bus (USB), které je kompromisem mezi mobilitou celého zařízení a požadavky na přenosovou rychlost. Požadavky na vlastnosti analyzátoru lze shrnout do následujících bodů: Schopnost připojení na sběrnici za plného provozu Úplná implementace linkového protokolu podle CAN specifikace 2.0B [1] 2

13 ÚVOD Hodiny reálného času schopné generovat časové značky k událostem (chyby, triggery a další relevantní informace důležité pro analýzu) Detekce všech stavových chyb a chyb synchronizace Asynchronní vstupní trigger (vloží do naměřeného souboru dat časovou značku vnějšího trig. pulsu) Programovatelný výstupní trigger (při naprogramované události generuje na výstupu puls např. pro spuštění osciloskopu nebo log. analyzátoru) Provoz v režimu reálného času (Real-Time), kdy jsou naměřená data ihned přenášena do PC a vyhodnocována Provoz v režimu ukládání dat do vyrovnávací paměti Zařízení 1 Zařízení 2 Zařízení 3 Zařízení n Sběrnice CAN RZ RZ CAN analyzátor USB PC Obr. 1: Filozofie CAN analyzátoru 1.3 Uspořádání kapitol Nejnutnější obecné informace nutné pro praktický návrh analyzátoru jsou uvedeny v kapitole 2 (sběrnice CAN, architektura hradlových polí, vývojové prostředí a sběrnice USB). Vlastním návrhem se zabývá nejrozsáhlejší kapitola 3. V následující kapitole je pak popsán hardware analyzátoru a závěrečná kapitola se věnuje programovému vybavení. 1.4 Konvence Pokud je to možné a vhodné, názvy použitých signálů, stavů, procedur a proměnných odpovídají stejným názvům použitých ve zdrojových souborech. 3

14 ÚVOD Specifikace sběrnice CAN definuje dvě navzájem komplementární logické úrovně (kapitola 2.1.2), které nazývá dominant a recessive. Bez újmy na obecnosti zaveďme pro účely různé formy popisu a zobrazení úrovní signálů následující rovnosti: dominant = dominantní = log 0 = 0 = GND recessive = recesivní = log 1 = 1 = Vcc Schematické značky obvodů CAN analyzátoru v kapitole 3, mají na levé straně vždy vstupní signály a výstupní signály na straně pravé. Příklad je na obrázku Obr. 1. VSTUP 1 VSTUP 2 VÝSTUP 1 VÝSTUP 2 VSTUP 3 PRIKLAD_ OBVODU Obr. 2: Příklad umístění vstupních a výstupních signálů ve schematické značce obvodu 4

15 ANALYZÁTOR SBĚ RNICE CAN Kapitola 2 Sběrnice CAN a další V kapitole 2 jsou uvedeny všechny potřebné pojmy, které je nezbytné uvést dříve, než se pustíme do vlastního návrhu CAN analyzátoru. První část je věnována sběrnici CAN, zejména vlastnímu linkovému protokolu. V další části je přiblížena architektura programovatelných logických polí. Následuje představení prostředí EMAX+plus II a jazyka AHDL, použitého pro návrh. Závěr je věnován rozhraní USB. 2. Sběrnice CAN a další

16 SBĚ RNICE CAN A DALŠÍ 2.1 Controller Area Network (CAN) CAN je sériový komunikační protokol, který byl původně vyvinut firmou Bosch pro nasazení v automobilovém průmyslu. Vzhledem ke svým dobrým vlastnostem, mezi které patří především spolehlivost, vysoká přenosová rychlost, snadná rozšiřitelnost a nízká cena, dochází k stále častějšímu využívání tohoto protokolu i v jiných průmyslových aplikacích. Specifikace CAN 2.0A popisuje pouze linkovou vrstvu protokolu CAN. Je ale součástí normy ISO 11898, která již fyzickou vrstvu popisuje. Aplikační vrstva je definována v několika standardech (CANopen, DeviceNet), které jsou vzájemně nekompatibilní. Později došlo k rozšíření původní specifikace vzniká tak Specifikace CAN 2.0B, která je předmětem této Diplomové práce Základní vlastnosti CAN umožňuje distribuované řízení systémů v reálném čase s přenosovou rychlostí do 1Mbit/s a vysokým stupněm zabezpečení přenosu proti chybám. Jedná se o protokol typu multi-master, kde každý uzel sběrnice může být master a řídit tak chování jiných uzlů. Zvyšuje se tím spolehlivost (při poruše jednoho uzlu může zbytek sítě pracovat dál). Pro řízení přístupu k médiu je použita sběrnice s náhodným přístupem, která řeší kolize na základě prioritního rozhodování. Zprávy přenášející informace po sběrnici jsou uvozeny identifikátorem, který udává význam zprávy a její prioritu. Neobsahují tedy žádnou adresu cílového uzlu a jsou přijímány všemi uzly, které jsou připojeny ke sběrnici. Nejvyšší prioritu má zpráva s identifikátorem 0. V případě kolize zpráv je přednostně doručena zpráva s vyšší prioritou. Na základě identifikátoru je možné zajistit filtrování zpráv a uzel tak bude přijímat pouze ty zprávy, které se ho týkají Fyzická vrstva CAN specifikace 2.0B neklade žádné konkrétní požadavky na fyzickou vrstvu. Definuje pouze dvě vzájemně komplementární hodnoty bitů na sběrnici dominant a recessive, které představují obecné ekvivalenty logických úrovní. Hodnoty těchto úrovní nejsou určeny a záleží na konkrétní realizaci fyzické vrstvy. Pro úroveň na sběrnici platí tato pravidla: Jestliže všechny uzly vysílají hodnotu recessive, je na sběrnici hodnota recessive. Jestliže alespoň jeden z uzlů vysílá hodnotu dominant, je na sběrnici hodnota dominant. Více o fyzické vrstvě například v [15]. 6

17 SBĚ RNICE CAN A DALŠÍ Linková vrstva Linková vrstva protokolu je definována Specifikací CAN 2.0B a je tvořena dvěma podvrstvami: MAC (Medium Access Control) zajišťuje přístup k fyzické vrstvě (provádí kódování dat, řízení přístupu s rozlišením priorit zpráv, vkládání bitů, detekce a hlášení chyb a potvrzování korektně přijatých zpráv). LLC (Logical Link Control) provádí filtrování přijatých zpráv a hlášení o přetíženích Způsoby zabezpečení a detekce chyb Protokol CAN definuje několik mechanismů, které zabezpečují přenos dat po sběrnici. Tyto mechanismy jsou v činnosti současně. Monitorování sběrnice. Vysílající uzel porovnává hodnotu právě vysílaného bitu se skutečnou úrovní na sběrnici. Jsou-li hodnoty rozdílné, vygeneruje chybovou zprávu. Neplatí to ve dvou částech vysílaného rámce. První je oblast identifikátoru (Arbitration Field), kde probíhá řízení přístupu na sběrnici. V tomto případě, detekuje-li vysílající uzel na sběrnici jinou hodnotu než vysílá (to může být pouze tehdy, vysílá-li recessive a na sběrnici je dominant), musí okamžitě přerušit vysílání znamená to, že na sběrnici vysílá jiný uzel správu s vyšší prioritou (ta tím nebude vzhledem k vlastnostem fyzické vrstvy nijak poškozena). Druhá oblast je potvrzení přijetí zprávy (ACK bit). CRC kód. Každá zpráva obsahuje na konci 15 bitový CRC kód, který je generován ze všech předchozích bitů zprávy. Jestliže libovolný uzel detekuje chybu CRC, je generována chybová zpráva. Vkládání bitů (Bitstuffing). Jestliže se vysílá na sběrnici po sobě 5 bitů stejné úrovně, je vložen (navíc) bit úrovně opačné. Tento mechanismus slouží nejen k detekci chyb, ale i k synchronizaci jednotlivých uzlů. Jestliže je porušeno pravidlo vkládání bitů, je generován chybový rámec. Formální kontrola zprávy. Kontroluje se, zda vysílaná zpráva má formát shodný se specifikací. Jestliže je na nějakém bitu detekována nesprávná hodnota, je vygenerován chybový rámec. Potvrzení přijetí zprávy (Acknowledge) je-li zpráva korektně přijata libovolným uzlem, je to potvrzeno změnou hodnoty jednoho bitu (ACK) zprávy. Vysílač vždy na tomto bitu vysílá recesivní úroveň a detekuje-li dominantní úroveň, je vše v pořádku. V opačném případě vysílá chybový rámec. Potvrzování přijetí zprávy je prováděno všemi uzly připojenými ke sběrnici. 7

18 SBĚ RNICE CAN A DALŠÍ Signalizace chyb Každý uzel interně počítá množství chyb při příjmu a vysílání. Podle množství těchto chyb pak uzel může být: Aktivní (Error Active) může se aktivně podílet na komunikaci na sběrnici a v případě detekce libovolné chyby vysílá aktivní příznak chyby (6 dominantních bitů, které poruší pravidlo vkládání bitů a poškodí přenášenou zprávu). Pasivní (Error Passive)- také se podílí na komunikaci, ale v případě detekce chyby vysílají pouze pasivní příznak chyby (6 recesivních bitů, které přenášenou zprávu neporuší) Odpojené (Bus-off) tyto uzly sběrnici nijak neovlivňují. Uzel může být přepnut do stavu Bus-off, pokud generuje příliš mnoho chyb Zprávy linkového protokolu Specifikace CAN 2.0B definuje 4 typy zpráv (rámců). Dvě jsou určeny pro datovou komunikaci (datová zpráva a žádost o data) a dvě k jejímu řízení (chybová zpráva a žádost o prodlevu v komunikaci). Datová zpráva (Data Frame) Má dvě varianty standardní (Standard Frame) a rozšířená (Extended Frame). Podstatný rozdíl je pouze v identifikátoru, kdy pro Standard Frame má délku 11 bitů a pro Extended Frame 29 bitů. Vysílání datové zprávy může začít pouze tehdy, je-li sběrnice volná. Pak může libovolný uzel začít vysílat. Jestli získá sběrnici pro sebe záleží na prioritě identifikátoru zprávy, kterou vysílá (viz. monitorování sběrnice). Standardní datový rámec Volná sběrnice Řízení přístupu na sběrnici Řídící oblast Datová oblast CRC Potvrzení SOF Identifikátor zprávy 11 bitů RTR IDE R0 Délka datové oblasti 0-8 bajtů dat CRC součet 15 bitů ERC ACK ACD Konec rámce Mezera mezi rámci Rozšířený datový rámec Volná sběrnice Řízení přístupu na sběrnici Řídící oblast Datová oblast SOF Identifikátor zprávy 11 bitů SRR IDE Identifikátor zprávy 11 bitů RTR R1 R0 Délka datové oblasti Obr. 3: Standardní a rozšířený datový rámec 8

19 SBĚ RNICE CAN A DALŠÍ Na obrázku Obr. 3 jsou znázorněny oba dva typy datových rámců. Rozšířený rámec je zobrazen pouze zčásti, neboť od délky datové oblasti je shodný se standardním. Význam bitů je následující: SOF (Start Of Frame) - začátek rámce, 1 dominantní bit. Standardní identifikátor zprávy ID11 11 bitů, udává význam přenášené zprávy. 1. bit je nejvýznamnější. Rozšířený identifikátor zprávy ID18 18 bitů, méně významných 18 bitů (z celkem 29) identifikátoru rozšířeného rámce. RTR/SRR (Remote Request / Substitute Remote Request) po ID11 1 bit. Bit následující po standardním identifikátoru má dva významy. Ve standardním rámci jej označujeme RTR a je příznakem, zda se jedná o datovou zprávu (dominantní hodnota), nebo o žádost o vyslání dat (recesivní hodnota). V rozšířeném rámci je označen jako SRR a má vždy recesivní hodnotu. Tím je zajištěno, že při vzájemné kolizi standardního a rozšířeného rámce se stejným 11 bitovým identifikátorem, získá přednost standardní rámec. Bit RTR udávající, zda se jedná o datovou zprávu nebo o žádost o data, je v rozšířeném rámci přesunut až za konec druhé části identifikátoru. IDE (Idetifier Extended) 1 bit, dominantní pro standardní rámec, recesivní pro rozšířený rámec. R1, R0 rezervované bity. Podle specifikace by měly být vysílány jako dominantní, ale při příjmu akceptovány v obou úrovních. Délka datové oblasti (Data Field) 4 bity, počet přenášených datových bajtů ve zprávě. Povolené hodnoty jsou 0-8. Datová oblast (Data Field) nejméně 0 a nejvýše 8 datových bajtů. Jsou vysílány od nejvíce významného bitu. CRC kód 15 bitů CRC součtu. CRC součtem je zajištěna celá oblast od SOF až po poslední datový bit. ERC oddělovač CRC součtu 1 dominantní bit. ACK bit potvrzení, 1 bit (viz ). ACD oddělovač potvrzení ACK 1 recesivní bit. Konec rámce (End Of Frame) 7 recesivních bitů. Mezera mezi rámci (Interframe Space, IF) slouží k oddělení zpráv, 3 recesivní bity. V případě, že uzel čeká na vyslání zprávy protože se právě vysílá jiný rámec, mů- 9

20 SBĚ RNICE CAN A DALŠÍ že zahájit přístup ke sběrnici nejdříve po druhém bitu Interframe Space. Třetí IF bit tedy již může být SOF. Žádost o data (Remote Frame) Formát žádosti o data je obdobný jako formát datové zprávy. Žádost o data je signalizována recesivní úrovní příslušného bitu RTR (viz. předchozí odstavec) a chybí datová oblast. Identifikátor udává typ zprávy, jejíž zaslání je požadováno. Bit RTR v datové zprávě má dominantní úroveň. Datová zpráva se stejným identifikátorem jako žádost o data má tedy vyšší prioritu. To je výhodné v situaci, kdy jeden uzel žádá o data s nějakým identifikátorem a jiný uzel je zrovna vysílá. Chybová zpráva (Error Frame) Chybový rámec (Obr. 4) generuje libovolný uzel ihned, jakmile detekuje v přenášené zprávě nějakou chybu (chyba vkládání bitů, chyba rámce, chyba CRC). Příznak chyby může být buď pasivní nebo aktivní (viz. kapitola 2.1.5). Při generování aktivního příznaku chyby (6 dominantních bitů) je přenášená zpráva poškozena (je porušeno pravidlo vkládání bitů), a tedy i ostatní uzly začnou vysílat chybové zprávy. Výsledný chybový rámec je potom dán superpozicí všech chybových příznaků, které vysílají jednotlivé uzly. Délka této oblasti může být 6 12 bitů. Chybová zpráva Datový rámec nebo Oddělovač chyb nebo Oddělovač zprávy o přetížení Chybový příznak Chybový oddělovač Mezera mezi rámci nebo zpráva o přetížení Superpozice chybových příznaků Obr. 4: Chybový rámec Po vyslání svého chybového příznaku vysílá každá stanice na sběrnici recesivní bity a zároveň detekuje stav sběrnice. Jakmile najde první bit na sběrnici také recesivní, vysílá dalších 7 recesivních bitů jako chybový oddělovač. Zpráva žádosti o přetížení (Overload Frame) Tato zpráva slouží k oddálení vyslání další datové zprávy nebo žádosti o data. Tento způsob využívají zařízení, která nejsou schopna kvůli svému vytížení přijímat a zpracovávat další zprávy. Struktura zprávy je formálně stejná jako struktura chybového rámce z obrázku Obr. 4. Místo chybového příznaku máme příznak přetížení (6 dominantních bitů), místo superpozice chybových příznaků superpozici příznaků přetížení 10

21 SBĚ RNICE CAN A DALŠÍ (pokud jsou generovány více uzly současně) a chybový oddělovač bude nahrazen oddělovačem zprávy o přetížení (8 recesivních bitů). Úplný popis Specifikace CAN 2.0B je v [1]. 2.2 Hradlová pole Použití hradlových polí přináší celou řadu výhod. Mezi nejpodstatnější patří flexibilita (s absencí diskrétních součástek spočívá změna v návrhu pouze ve změně programu jedné součástky), výkon (integrování více obvodů do jednoho), cena (zvláště u složitých systémů) a v neposlední řadě vývojové prostředky (integrovaná návrhová prostředí zjednodušují práci při návrhu jedno takové je popsáno v kapitole 2.3) Základní časové parametry Jedním z nejdůležitějších kritérií při výběru programovatelného pole pro návrh systému jsou časové parametry. Na obrázku Obr. 5: Časové parametry programovatelných obvodů jsou shrnuty základní časové parametry důležité při praktickém návrhu. Jejich význam je uveden v tabulce Tab. 1. Vstup ts th Hodinový signál tco Signály D > Q Kombinační logika D Q > Registrovaný výstup Hodiny tscs tpd Kombinační výstup Obr. 5: Časové parametry programovatelných obvodů Parametr Název Popis t PD Propagation delay Zpoždění mezi vstupem a výstupem způsobené kombi- nační logikou. t S Setup time Minimální doba ustáleného signálu na vstupu registru před příchodem hrany hodinového signálu. t H Hold time Minimální doba ustáleného signálu na vstupu registru po příchodu hrany hodinového signálu. t CO Clock-to-output-delay Zpoždění platné hodnoty na registrovaném výstupním pinu součástky za hranou hodinového signálu (výstup registru je přímo připojen na výstupní pin) t SCS System clock to clock delay Doba šíření signálu z výstupu jednoho registru na vstup druhého registru. Převrácená hodnota určuje maximální použitelný hodinový kmitočet. Tab. 1: Časové parametry programovatelných obvodů 11

22 SBĚ RNICE CAN A DALŠÍ Obr. 6: Architektura obvodu ACEX 1K Architektura hradlového pole ACEX 1K Architektura obvodu ACEX 1K je znázorněna na obrázku Obr. 6 (zdroj obrázku: [5]). Programovatelný obvod ACEX obsahuje velké množství logických elementů (Logic Element, LE). Jejich konkrétní počet závisí na typu součástky. Logické elementy jsou uspořádány do větších logických bloků (Logic Array Block, LAB). Logické bloky jsou uspořádány do řádků a sloupců. Každý řádek obsahuje také jedno zabudované pole RAM (Embedded Array Block, EAB) o kapacitě 4096 bitů, které je možné využít k implementaci mnoha typů pamětí (RAM, ROM, FIFO atd), ale i kombinační logiky. Logické bloky a zabudovaná pole RAM jsou mezi sebou propojena soustavou propojovacích kanálů (FastTrack Interconnect). Propojovací kanály rozdělují vnitřní strukturu na řádky (Row Interconnect) a sloupce (Column Interconnect). Jednotlivé signálové cesty jsou mezi sebou propojeny multiplexy. I/O elementy (IOE) jsou umístěny na jejich koncích. Každý obsahuje programovatelný registr, pomocí kterého je možné dosáhnout krátkých výstupních časů t CO. Součástka je vybavena 6 vyhrazenými vstupy. Tyto vstupy jsou určeny pro globální signály jako CLK, RESET, ENABLE apod. V propojovací struktuře je jim vyhrazena speciální vrstva, ve které je zaručeno minimální zpoždění. 12

23 SBĚ RNICE CAN A DALŠÍ Logické elementy (LE) Je to nejmenší prvek pro implementaci logické funkce. Každý logický element obsahuje tzv. LUT (Look-up Table). LUT umožňuje realizovat jakoukoliv funkci se 4 vstupy. Dále obsahuje pomocné prvky pro aritmetické a logické operace, které využívají speciální komunikační kanály mezi logickými elementy stejného logického bloku (viz. dále). Jedná se o tzv. Carry-chain, podporující implementaci vysokorychlostních čítačů a sčítaček a Cascade-chain umožňující implementaci mnohovstupých logických funkcí s minimálním zpožděním. Logické bloky (LAB) Oproti standardní architektuře typu FPGA nejsou jednotlivé logické elementy propojeny přímo, ale jsou sdruženy po osmi do logických bloků. Tím se zlepšují časové parametry a snižují potíže s přímým propojováním mnoha elementů. Logické elementy jsou v logickém bloku propojeny lokálním propojovacím polem (Local Interconnect). Logické bloky jsou propojeny pouze řádkovým kanálem. Z toho plyne, že v situaci, kdy je třeba propojit logické elementy z rozdílných řad, dochází k velkému zpoždění signálu. Více o hradlových polích ACEX v [5]. 2.3 Integrované návrhové prostředí EMAX+plus II a jazyk AHDL EMAX+plus II EMAX+plus II je komplexní vývojové prostředí od firmy Altera pro návrh všech rodin programovatelných logických obvodů této firmy. Jeho nejpodstatnější vlastnosti lze shrnout do následujících bodů: Podpora hierarchického návrhu. Možnost návrhu designu několika metodami (jazyk AHDL, VHDL, pomocí grafického rozhraní). Možnost funkční i časové simulace. Takto je možné provést prakticky celý návrh pouze na papíře Obsahuje tzv. Floorplan Editor, který umožňuje provádět ručně změny prostorového uspořádání návrhu v konkrétním hradlovém poli. Součástí je i Timing Analyser, který umožňuje výpočet maximálního použitelného hodinového kmitočtu pro konkrétní návrh a konkrétní hradlové pole a výpočet zpoždění signálových cest. 13

24 SBĚ RNICE CAN A DALŠÍ Jazyk AHDL Pro návrh byl zvolen jazyk AHDL. AHDL (Altera Hardware Description Language) je modulární jazyk, plně integrovaný v prostředí EMAX+ plus II. Je vhodný zejména pro návrh komplexních kombinačních funkcí a stavových automatů. Návrháři dává k dispozici množství konstrukcí potřebných pro popis chování složitých systémů. Struktura programu vytvářeného v jazyce AHDL je obdobná struktuře jiných vyšších programovacích jazyků (Pascal, C apod.). Základní rámcová struktura každého návrhu vypadá takto: include "design.inc"; SubDesign name -- připojení modulu nebo funkce -- název designu ( signaly : typ; -- definice vstupů a výstupů návrhu ) Variable datovy objekt : typ; Begin -- definice promennych -- začátek výkonné části programu konstrukce kombinacni logiky; konstrukce sekvencni logiky; End; -- konec výkonné části programu Datový objekt. Jazyk AHDL nabízí celou řadu (několik desítek) datových objektů, které nazývá Primitive. Ty představují základní stavební kameny celého návrhu. Jedná se například o různé klopné obvody (DFF, DFFE, JKFF, SRFF), základní logické struktury (AND, OR, NAND ), třístavové buffery (TRI) apod. Megafunkce. Jsou velmi silným nástrojem jazyka AHDL. Do návrhu se vkládají direktivou INCLUDE a představují nový datový typ. Prakticky představují zapouzdřené komplexní logické bloky realizující definovanou funkci. Altera nabízí celou řadu funkcí, které jsou součástí instalace. Takto je možné přímo vložit do návrhu různé paměti (FIFO, RAM, ROM), složité kombinační obvody (komparátory, multiplexery, násobičky) apod. Vlastní návrh těchto obvodů zůstává pro programátora skryt a přistupuje k nim pouze pomocí jejich vstupů a výstupů. Konstrukce kombinační logiky. Prvky pro konstrukci kombinační logiky jsou obdobné jiným programovacím jazykům jedná se zejména o prosté přiřazení (proměnná = hodnota) a podmínkové konstrukce if then else a case is when.jazyk také podporuje vytváření kombinační logiky pomocí pravdivostních tabulek. Konstrukce sekvenční logiky. Nejvýznamnějším nástrojem (a v návrhu analyzátoru hojně používaným) jsou stavové automaty. Struktura stavového automatu vypadá takto: 14

25 SBĚ RNICE CAN A DALŠÍ case var_automatu is when stav_1 => konstrukce kombinacni logiky; when stav_2 =>... konstrukce kombinacni logiky; when stav_n => end case; konstrukce kombinacni logiky; Úplný popis jazyka AHDL a vývojového prostředí EMAX+plus II lze nalézt například v [2] a [10]. 2.4 Rozhraní Universal Serial Bus (USB) USB je sériový komunikační protokol s přenosovou rychlostí do 12 Mb/s a s možností připojení až 127 zařízení. Jeho významnou předností je fakt, že je v současné době prakticky standardním vybavení počítačů typu PC. Jedná se o protokol typu master-slave, kdy se počítač (USB host) periodicky (1 ms) dotazuje jednotlivých USB zařízení, zda mají nějaká data k přenosu nebo zda mohou nějaká data přijmout Topologie USB USB vytváří několikaúrovňovou hvězdicovou strukturu. Středem každého hvězdicového propojení je rozdělovač (Hub) a jednotlivé propojovací segmenty. Ty mohou spojovat buď počítač (USB host), který obsahuje centrální rozdělovač s funkčními jednotkami (myš, klávesnice, modem) a rozdělovači na vyšší úrovni, nebo rozdělovač s funkčními jednotkami a rozdělovači na nižší úrovni. Celá situace je znázorněna na obrázku Obr. 7. Každé USB zařízení má svojí USB adresu a podporuje několik koncových jednotek HOST HUB 1 Vrstva 1 HUB 2 F.J. F.J. Vrstva 2 HUB 3 HUB 4 F.J. F.J. Vrstva 3 F.J. F.J. F.J. F.J. Vrstva 4 Obr. 7: Topologie USB 15

26 SBĚ RNICE CAN A DALŠÍ (Endpoints). Endpoint s číslem 0 musí mít každé zařízení a je určené pro kontrolní přenosy (viz. dále). Prakticky to znamená, že USB zařízení může komunikovat s PC po několika nezávislých duplexních kanálech Přenos dat Pro veškerou komunikaci mezi počítačem a funkční jednotkou jsou k dispozici tři typy paketů. Výměna začíná tím, že počítač vyšle tzv. token packet, který obsahuje informace o typu a směru výměny dat, adresu USB zařízení a číslo endpointu. Velikost (ve smyslu datové kapacity) příslušného endpointu udává maximální počet dat, které je možné přenést v rámci jedné datové výměny. Potom příslušné oslovené zařízení, které má vysílat data, vyšle datový paket nebo signalizuje, že žádná data nemá k dispozici. Přijímací strana na závěr vyšle tzv. handshake packet, kterým informuje, zda přenos proběhl úspěšně. USB rozeznává 4 typy datových přenosů: Kontrolní přenosy (Control Transfers). Tyto přenosy jsou využívány pro konfiguraci funkčních jednotek při jejich prvním připojení. Jedná se o zabezpečený přenos a data mají definovanou strukturu. Za tímto účelem je využíván endpoint 0 připojené funkční jednotky, který je pro tyto účely vyhrazen. Po připojení je počítačem (USB host) vyčten deskriptor funkční jednotky a je tak získán její úplný popis (počet endpointů, jejich velikost, typ funkční jednotky atd.). BULK přenosy (Bulk Transfers). Tento typ přenosů je určen pro relativně velké objemy přenášených dat. Jedná se o zabezpečený přenos a data mají definovanou strukturu. Prostor věnovaný BULK přenosům je ale závislý na dalších přenosech, a není tak zaručen čas potřebný pro přenos. Velikost bulk endpointu může být 8, 16, 32 nebo 64 bajtů. Přenosy s přerušením (Interrupt Transfers). Jsou určeny pro přenos malých objemů dat (typicky několik bajtů), které jsou periodicky vysílány (změna polohy myši). Přenos je zabezpečený, data mají definovanou strukturu a čas pro přenos je zaručený. Perioda může být v rozsahu ms. Maximální velikost interrupt endpoint může být 64 bajtů Izochronní přenosy (Isochronous Transfer). Jedná se o čistý tok dat, jejichž struktura není definována. Přenos těchto dat není zabezpečen. Maximální velikost izochronního endpointu může být 1023 bajtů. Pro kódování dat je použit kód NRZI, jedná-li se o zabezpečený přenos, je jištěn CRC součtem a vkládáním bitů. 16

27 SBĚ RNICE CAN A DALŠÍ Napájení funkčních jednotek USB může s komunikací zajišťovat i napájení funkčních jednotek. S následujícími parametry: Jedná-li se o výkonové připojení (High-Power-Port), může funkční jednotka odebírat proud až 500 ma (ovšem ne bezprostředně po připojení, nýbrž až poté, co proběhla její konfigurace do té doby pro ní platí následující pravidla) V případě běžného připojení (Low-Power-Port) může funkční jednotka odebírat maximálně 100 ma. Dále musí platit, že zařízení napájená z USB musí podporovat režimy se sníženou spotřebou. V takovém režimu mohou odebírat ze sběrnice maximálně 0.5 ma. Pokud zařízení tyto požadavky nesplňuje, musí být vybaveno vlastním zdrojem Přenosová rychlost USB Jsou definovány dvě verze. High Speed s přenosovou rychlostí do 12 Mbit/s a Low Speed s rychlostí do 1,5 Mbit/s. Obě verze se liší propojovacími kabely. Kabely jsou čtyřvodičové (D+, D-, +5V a GND), pro 12Mbit/s musí být vodiče zkrouceny, kabel musí být stíněný a jeho max. délka je 5 m. Pro 5 Mbit vodiče zkrouceny být nemusí, kabel nemusí být stíněný a maximální délka je 3 m. Při uvažování maximální přenosové rychlosti je třeba vzít v úvahu, že jedno připojení sdílí více periferií současně, takže reálná přenosová rychlost bude nižší. Navíc je zde ještě omezení, že žádné zařízení nesmí překročit přenosovou rychlost 6 Mbit/s. Této rychlosti lze také využít pouze tehdy, pokud součty přenosových rychlostí všech ostatních zařízení nepřesáhnou také 6Mbit/s Připojení funkční jednotky ke sběrnici. Rozhraní funkčních jednotek jsou doplněna zakončovacími odpory. Vodiče D+ (pro High Speed) a D- (pro Low Speed) jsou přivedeny přes rezistory 1,5 kω na napětí 3,6V. Při připojení a odpojení kabelu vzniká na příslušné svorce (podle typu zařízení) odpovídající přechodový děj, na základě kterého je identifikováno připojení nebo odpojení USB zařízení a jeho typ (z hlediska přenosové rychlosti). Více o sběrnici USB například v [8] a [14]. 17

28 ANALYZÁTOR SBĚ RNICE CAN Kapitola 3 Design analyzátoru v hradlovém poli Tato kapitola postupně popisuje hierarchický design CAN analyzátoru v hradlovém poli a tvoří stěžejní část Diplomové práce. V úvodu je představeno orientační blokové schéma CAN analyzátoru. Jednotlivé bloky tohoto schématu jsou definovány a podrobně popsány jako samostatné dílčí obvody. Tyto obvody jsou poté propojeny a je představeno detailní schéma CAN analyzátoru v kontrastu s hrubým blokovým schématem načrtnutým v úvodu. Vzniká tak zapouzdřená fiktivní součástka, kterou nazveme CAN analyzátor. V závěru je CAN analyzátor doplněn ještě o dvě periferie - Řízení paměti DRAM a Komunikační rozhraní. Propojením těchto obvodů je návrh analyzátoru v hradlovém poli ukončen. 3. Design analyzátoru v hradlovém poli

29 DESIGN ANALYZÁTORU V HRADLOVÉM POLI 3.1 Blokové schéma V souladu s úvodníkem k této kapitole zaveďme nejdříve konvenci, že pokud nebude výslovně uvedeno jinak, budeme termínem CAN analyzátor označovat strukturu definovanou na obrázku Obr. 8. Připomeňme také odkaz na značení logických úrovní v kapitole 1.4. CLK ENABLE MAIN COUNTER BUS IDLE REAL TIME COUNTER BIT COUNTER RESET CAN MAIN LOOP D STARTUP UNIT MAIN START UNIT DATA READ UNIT WRITE TO FIFO Q CAN END LOOP INPUT TRIGGER MAIN TIMER CAN ERR LOOP OUTPUT TRIGGER INTRIG OUTTRIG CLK SYNC INPUT BIT DESTUFFING CYCLIC REDUNDANCY CHECK CAN_BUS Obr. 8: Blokové schéma CAN analyzátoru Výhody hierarchického přístupu Dekompozice problému na jednotlivé logicky oddělené dílčí části, jejich samostatné řešení a následná syntéza do konečného jednotného celku jsou základní prvky používané při hierarchickém návrhu, což je přirozený proces pro lidské myšlení při řešení složitých problémů. Rozdělení CAN analyzátoru do jednotlivých bloků vede k přehlednosti celého návrhu. Umožňuje rovněž snadnější odhalení chybného chování a pružnější testování a simulaci. V neposlední řadě nelze opominout ani dobu potřebnou pro překlad celého designu a simulaci, která při rozsáhlejších projektech i při výkonech dnešních počítačů zabere několik minut. 19

30 DESIGN ANALYZÁTORU V HRADLOVÉM POLI Dekompozice CAN analyzátoru Na obrázku Obr. 8 je zobrazeno blokové schéma CAN analyzátoru, ve kterém jsou, zatím pouze v hrubých rysech, patrné signálové toky mezi jednotlivými obvody. Bílá šipka představuje synchronizovaný signál sběrnice CAN a šipka černá signál reprezentující odebraný vzorek bitu pro stavovou analýzu. Všechny obvody CAN analyzátoru jsou synchronizovány globálním signálem CLK nebo signály z něho odvozenými, což je nezbytná podmínka pro jejich korektní spolupráci. Kromě zmíněného vstupu CLK jsou dalšími vstupy globální signály RESET a ENABLE sloužící pro restart a povolení funkce CAN analyzátoru. Dále signál vlastní analyzované sběrnice CAN a signál vstupního triggeru INTRIG. Pro zápis do konfiguračních registrů je k dispozici osmibitový datový vstup (do obvodu STARTUP UNIT) s příslušnými řídícími signály. Výstupy představuje osmibitový datový výstup pro předávání výsledků analýzy (z obvodu WRITE TO FIFO) s příslušnými řídícími signály a signál výstupního triggeru OUTTRIG. Pohled na CAN analyzátor z hlediska jeho vstupů a výstupů představuje nejvyšší stupeň v hierarchii návrhu, postavený na nižších stupních tvořených jednotlivými obvody a jejich částmi. Na každý takový obvod budeme pohlížet jako na samostatný funkční celek a přiřadíme mu schematickou značku znázorňující jeho vývody. Tyto značky pak v závěru využijeme k sestavení detailního schématu celého CAN analyzátoru. Nyní si jednotlivé obvody popišme. 3.2 Funkce obvodů CAN analyzátoru Obvod Bit Destuffing (BDE) Jeden z mechanismů zabezpečení přenosu dat na sběrnici CAN je mechanismus vkládání bitů (Bitstuffing), který zajišťuje, že na sběrnici se může vyskytnout nejvýše pět bitů po sobě jdoucích stejné logické úrovně a poté musí následovat šestý, vložený, bit úrovně opačné. Není-li šestý bit opačné úrovně než pět předchozích, jedná se o chybu bitstuffingu (viz. kapitola 2.1.4). Obvod BDE generuje na svých výstupech signály definující stav právě přijatého bitu z pohledu mechanismu vkládání bitů: zda se jedná o bit vložený nebo zda se jedná o chybu bitstuffingu a v jaké logické úrovni. Stavový automat obvodu BDE Funkce obvodu BDE je realizována stavovým automatem, jehož přechodový graf je na obrázku Obr. 9. Činnost automatu lze shrnou v následujících bodech: 20

31 DESIGN ANALYZÁTORU V HRADLOVÉM POLI 1 BDRES start 0 0 BD11 1 BD BD BD BD BD BD14 BD BD15 0 BD06 BD16 1 BD BDSER stop STUFF_BIT BDSED stop RECESSIVE_ERR DOMINANT_ERR Obr. 9: Přechodový graf stavového automatu obvodu BDE Po restartu obvodu se automat nachází ve stavu BDRES. Je-li následující bit recesivní, automat přechází do stavu BD11 v levé větvi grafu (analogicky pro dominantní bit přejde automat do stavu BD01 v prvé části grafu). Pro následující čtyři recesivní, resp. dominantní, bity prochází automat postupně stavy BD12 - BD15, resp. stavy BD02 - BD05. Bude-li některý z těchto čtyř bitů dominantní, resp. recesivní, přejde automat do stavu BD01 v pravé větvi grafu, resp. BD11 v levé větvi. Obdrží-li automat ve stavu BD15, resp. BD05, recesivní, resp. dominantní, bit, přechází do stavu BDSER, resp. BDSED a signalizuje chybu vkládání bitů v recesivní, resp. dominantní, úrovni. Tyto stavy jsou konečné a automat (obvod BDE) musí být pro další činnost restartován. 21

32 DESIGN ANALYZÁTORU V HRADLOVÉM POLI Jestliže bude bit ve stavu BD15, resp. BD05, dominantní, resp. recesivní, přechází automat do stavu BD06, resp. BD16, a signalizuje vložený bit. Ze stavu BD06 přechází automat v případě recesivního bitu do stavu BD11, v případě dominantního do stavu BD02 (stav BD06 zde supluje stav BD01). Ze stavu BD16 pro dominantní bit přejde automat do stavu BD01 a pro recesivní do stavu BD12 (v tomto případě supluje stav BD16 stav BD11). CLK_RUN RESET CAN_DATA STUFF_BIT RECESSIVE_ERR DOMINANT_ERR BD04 BD05 BD16 BD01 BD02 BD03 BD04 BD05 BDSED BDSED BDRES Obr. 10: Příklad činnosti automatu obvodu BDE Na obrázku Obr. 10 se automat právě nachází ve stavu BD04. Znamená to, že na sběrnici je čtvrtý dominantní bit v řadě. Protože i pátý bit je dominantní, musí být šestý vložený, opačné úrovně. Splnění této podmínky indikuje signál STUFF_BIT (stav BD16). Následuje pět dominantních bitů (stavy BD01 BD05). Šestý bit je ale také dominantní, což znamená chybu vkládání bitů v dominantní úrovni a automat přechází do stavu BDSED, kde setrvá až do restartu obvodu. Tento stav indikuje signál DOMINANT_ERR. Popis signálů obvodu BDE Schematická značka obvodu BDE je na obrázku Obr. 11 a význam jednotlivých vývodů se zdroji signálů je uveden v tabulce Tab. 2. RESET ENABLE ENA2 STUFF_BIT RECESSIVE_ERR DOMINANT_ERR CLK_RUN CAN_DATA BIT_DESTUFFING Obr. 11: Schematická značka obvodu BDE 22

33 DESIGN ANALYZÁTORU V HRADLOVÉM POLI Název vývodu Aktivní úroveň Zdroj signálu Popis RESET Vcc MSU, Vnější Nastaví obvod do výchozího stavu. Nutný pro znovuspuštění stavového automatu po detekci chyby vkládání bitů. ENABLE Vcc Vnější Pro povolení funkce obvodu musí být aktivní i ENA2 ENA2 Vcc MSU Pro povolení funkce obvodu musí být aktivní i ENABLE CLK_RUN Náběžná hrana MTR Hodiny pro stavový automat s periodou rovnou bitové rychlosti CANu. Udávají platnost vzorku sběrnice CAN_DATA pro vyhodnocení CAN_DATA - MCT Navzorkovaný bit odebraný z CAN sběrnice v okamžiku SAMPLE_POINT (kap ) - musí být platný před příchodem náběžné hrany CLK_RUN STUFF_BIT Vcc Výstup Signál, že právě vyhodnocený bit je bit vložený. Generuje puls o šířce rovné jedné periodě CLK_RUN RECESSIVE_ERR Vcc Výstup Signál chyby vkládání bitů v recesivní úrovni. Šířka pulsu je daná dobou do restartu obvodu DOMINANT_ERR Vcc Výstup Signál chyby vkládání bitů v dominantní úrovni. Šířka pulsu je daná dobou do restartu obvodu Tab. 2: Popis vývodů obvodu BDE Obvod Cyclic Redundancy Check (CRC) Výpočet cyklického redundandního kódu patří mezi další způsoby zabezpečení přenosu dat na sběrnici CAN. Obvod CRC provádí výpočet CRC podle algoritmu uvedeném v [1]. Za tímto účelem zavádí 15-ti bitový posuvný registr CRC_RG[14..0] pro vlastní výpočet CRC a jednobitový pomocný registr CRCNXT. Registr CRC_RG[14..0] také představuje jediný výstup obvodu. RESET CRC[14...0] ENABLE ENA2 CLK RUN CAN_DATA CYCLIC_REDUNDANCY_CHECK Obr. 12: Schematická značka obvodu CRC 23

34 DESIGN ANALYZÁTORU V HRADLOVÉM POLI Celý algoritmus řeší jednoduchý stavový automat. Výpočet (včetně testu na platnost navzorkovaných dat) trvá 4 T CLK (periody hodinového synchronizačního signálu). Schematická značka obvodu je na obrázku Obr. 12, algoritmus činnosti obvodu na obrázku Obr. 13 a tabulka s popisem vývodů a zdroji signálů pro obvod CRC je tabulka Tab. 3. NE RUN RUN Jsou navzorkována data? ANO CAN_DATA CAN_DATA CRCNXT = CAN_DATA XOR CRC_RG[14]; CRC_RG[14..1] = CRC_RG[13..0]; CRC_RG[0] = 0; NE Je rovno CRCNXT jedné? ANO CRC_RG[14..0] = CRC_RG[14..0] XOR H"4599"; CRC[14..0] CRC[14..0] Obr. 13: Algoritmus činnosti obvodu CRC Název vývodu Aktivní úroveň Zdroj signálu Popis RESET Vcc MSU, Vnější Nastaví obvod do výchozího stavu. ENABLE Vcc Vnější Pro povolení funkce obvodu musí být aktivní i ENA2 ENA2 Vcc MSU Pro povolení funkce obvodu musí být aktivní i ENABLE CLK Náběžná hrana Vnější Hodinový synchronizační signál s periodou T CLK = 20ns RUN Vcc MTR Příznak platnosti vzorku CAN_DATA (spuštění výpo- čtu CRC pro navzorkovaný bit) CAN_DATA - MCT Navzorkovaný bit dat z CAN sběrnice CRC[14..0] - Výstup 15 bitů aktuálního stavu výpočtu CRC (výstup regist- ru CRC_RG[14..0] Tab. 3: Popis vývodů obvodu CRC 24

35 DESIGN ANALYZÁTORU V HRADLOVÉM POLI Obvod Main Timer (MTR) Protože všechny obvody v CAN analyzátoru pracují paralelně, je třeba zajistit, že úlohy, které mají být kauzálně spojeny (i přesto, že příslušné obvody spolu nijak propojeny nejsou) budou vykonány ve správném pořadí. Typickým příkladem je posloupnost odebrání vzorku dat ze sběrnice, test na vkládání bitů (obvod BDE), výpočet CRC (obvod CRC) a stavová analýza odebraného vzorku (obvod CML). Aby nemusely být tyto obvody vzájemně propojeny a testovat svoje stavy, a zejména z důvodů přehlednějšího a pružnějšího návrhu, byl navržen obvod MTR. Funkcí obvodu MTR je generovat spouštěcí signály pro vybrané obvody CAN analyzátoru v definovaném pořadí a s definovaným zpožděním tak, aby všechny požadované operace byly vykonány ve správném sledu. Obvod MTR také zaručuje dostatečný předstih dat na vstupech obvodů před příchodem hrany hodinového pulsu například dostatečný předstih signálu CAN_DATA před jeho vyhodnocením v obvodu BDE (kapitola 3.2.1). Název vývodu Aktivní úroveň Zdroj signálu Popis RESET Vcc MSU, Vnější Nastaví obvod do výchozího stavu. V případě detekce chyby vkládání bitů je nutno obvod pro obnovení činnosti restarto- vat. ENABLE Vcc Vnější Pro povolení funkce obvodu musí být aktivní i ENA2 ENA2 Vcc MSU Pro povolení funkce obvodu musí být aktivní i ENABLE CLK Náběžná hrana Vnější Hodinový synchronizační signál s periodou T CLK (20ns.) RUN Vcc MCT Spouštěcí signál tohoto obvodu MTR. Časově je totožný s okamžikem odebrání vzorku dat CAN_DATA (puls o šířce 1 T CLK ). CAN_DATA - MCT Navzorkovaný bit dat z CAN sběrnice STUFF_BIT Vcc BDE Příznak, že právě hodnocený bit je bit vložený RECESSIVER_ERR Vcc BDE Příznak, že právě hodnocený bit vyvolal chybu vkládání bitů v recesivní úrovni DOMINANT_ERR Vcc BDE Příznak, že právě hodnocený bit vyvolal chybu vkládání bitů v dominantní úrovni RUN_BIT_ DESTUFFING_UNIT Vcc Výstup Hodiny automatu obvodu BDE spustí test na vkládání bitů RUN_CRC_UNIT Vcc Výstup Spouštěcí signál pro obvod (puls o šířce 1 T CLK ) RUN_CAN_MAIN_LOOP Vcc Výstup Spouštěcí signál pro obvod CML (puls o šířce 1 T CLK ) Tab. 4: Popis vývodů obvodu MTR 25

36 DESIGN ANALYZÁTORU V HRADLOVÉM POLI NE Byla navzorkována data? ANO RUN Generuj spouštěcí signál pro obvod BDE Zpoždění 1Tclk Zpoždění (DFF) 1Tclk (DFF) RUN_BIT DESTUFFING_UNIT Zpoždění 1Tclk RECESSIVE_ERR OR Nastala chyba vkládání bitů? ANO STOP čekej na restart DOMINANT_ERR NE Jedná se o vložený bit? ANO NE STUFF_BIT Generuj spouštěcí signál pro obvod CRC RUN_CRC_UNIT Zpoždění 1Tclk Zpoždění (DFF) 1Tclk (DFF) Zpoždění 4 Tclk Generuj spouštěcí signál pro obvod CML RUN_CAN_MAIN_LOOP_UNIT Zpoždění 1Tclk Zpoždění 1Tclk Obr. 14: Algoritmus činnosti obvodu MTR 26

37 DESIGN ANALYZÁTORU V HRADLOVÉM POLI Činnost obvodu je znázorněna na obrázku Obr. 14. Prakticky jí realizuje stavový automat, který je (stejně jako všechny ostatní automaty v návrhu CAN analyzátoru) synchronizován globálním signálem CLK. Takto lze snadno realizovat zpoždění o velikosti n T CLK pouhým přechodem z jednoho stavu do n stavů dalších, ve kterých se jinak nevykonávají žádné funkce. CLK CLK DFF RUN_BIT_DESTUFFING_UNIT STUFF_BIT RECESSIVE_ERR DOMINANT_ERR Stavový automat DFF CLK CLK RUN_CRC_UNIT DFF RUN_CAN_MAIN_LOOP Obr. 15: Struktura obvodu MTR Z uspořádání obvodu na obrázku Obr. 15 je patrné, že spouštěcí signály jsou synchronizovány klopným obvodem typu D (DFF), který způsobuje, že signály jsou od okamžiku generování automatem zpožděny na výstupu o 1 T CLK. Obvod začíná svou činnost čekáním na spouštěcí signál, který obdrží ve stejném okamžiku, kdy je odebrán ze sběrnice CAN vzorek bitu pro analýzu tzv. Sample Point. Od tohoto okamžiku začíná stavová analýza právě navzorkovaného bitu. Obvod MTR nejdříve vygeneruje spouštěcí signál pro obvod BDE (bude zpožděný o T CLK ) a čeká další T CLK na dokončení činnosti tohoto obvodu. V případě, že obvod BDE zjistí chybu vkládání bitů, stavový automat obvodu končí svoji činnost a čeká na restart (další obvody stavové analýzy nejsou spuštěny). Detekuje-li BDE vložený bit, přechází automat do výchozího stavu a čeká na vzorek dalšího bitu. Pokud ani jedna z uvedených podmínek nenastane, znamená to, že je bit platný a MTR vygeneruje signál pro spuštění obvodu CRC (ten bude opět zpožděný o T CLK ). Následující 4 T CLK čeká na dokončení výpočtu CRC. Po jeho dokončení vygeneruje řídící signál pro obvod CML, který realizuje vlastní stavovou analýzu zpráv sběrnice CAN (kapitola 3.2.8). Schematická značka obvodu je na obrázku Obr. 16 a popis vývodů a zdrojů signálů v tabulce Tab

38 DESIGN ANALYZÁTORU V HRADLOVÉM POLI RESET ENABLE ENA2 CLK RUN STUFF_BIT RECESSIVE_ERR DOMINANT_ERR RUN_BIT_DESTUFFING_UNIT RUN_CRC_UNIT RUN_CAN_MAIN_LOOP_UNIT MAIN_TIMER Obr. 16: Schematická značka obvodu MTR Obvod Real Time Counter (RTC) Jednou z požadovaných vlastností analyzátoru, tak jak jsme je definovali v kapitole 1.2, je schopnost měření reálného času. Tuto funkci zastává obvod RTC, jehož struktura je na obrázku Obr. 17. CLK x DFF Komparátor OVERLOAD Sčítačka 1 Obr. 17: Uspořádání obvodu RTC Prakticky ji tvoří 32 bitový volně běžící čítač (signál povolení není implementován protože absolutní hodnoty času pro nás nejsou důležité), který je vytvořen pomocí klopných obvodů typu D (DFF) a sčítačky. S každou náběžnou hranou hodinového signálu CLK je obsah čítače inkrementován (zvětšen o jedničku). Čítač čítá od 0 až do hodnoty , poté dojde k přetečení a čítá znovu od nuly. Po dosažení komparační hodnoty 2 32 vygeneruje komparátor puls o šířce 1 T CLK (signál OVERLOAD) jako příznak přetečení čítače. Signál OVERLOAD je potom využit pro zápis této události do vyrovnávací paměti CAN analyzátoru (viz. kapitola ). Pro hodinový kmitočet f CLK = 50 MHz dostáváme dobu do přetečení čítače přibližně 85 sekund s rozlišením 20 ns. 28

39 DESIGN ANALYZÁTORU V HRADLOVÉM POLI Schematická značka obvodu je na obrázku Obr. 18 a popis vývodů a zdrojů signálů v tabulce Tab. 5. RESET CLK RTIME[31...0] OVERLOAD REAL_TIME_COUNTER Obr. 18: Schematická značka obvodu RTC Název vývodu Aktivní úroveň Zdroj signálu Popis RESET Vcc MSU, Vnější Vynuluje čítač RTC CLK Náběžná hrana Vnější Hodinový synchronizační signál s periodou T CLK (20ns) OVERLOAD - Výstup Signál přetečení čítače RTC puls o šířce 1 T CLK RTIME[31..0] - Výstup 32 bitů aktuálního stavu čítače RTC Tab. 5: Popis vývodů obvodu RTC Obvod Bit Counter (BIC) Kromě časových značek, které nám ve finále umožní generovat obvod RTC popsaný v kapitole 3.2.4, bude třeba pro doplnění informace k některým zprávám přidat ještě číslo bitu, ve kterém příslušná událost nastala. Počítání bitů v jednotlivých datových rámcích od začátku SOF (jak je definuje kapitola 2.1) je úkolem obvodu BIC, jehož schematická značka je na obrázku Obr. 19. Z návrhového hlediska má obvod obdobnou strukturu jako výše popsaný obvod RTC, signály a jejich zdroje jsou zcela odlišné. Čítač je pouze osmibitový, protože nejdelší datový rámec sběrnice CAN nebude mít délku větší než 160 bitů. Obvod nemá komparátor. Hodinový vstup čítače tvoří signál odvozený od okamžiku snímání vzorku bitu ze sběrnice (Sample Point). Čítač je tedy inkrementován a bit započítán v okamžiku, kdy je vzorkován. Popis signálů a jejich zdrojů je v tabulce Tab. 6. RESET COUNT_OF_BITS[7...0] ENABLE ENA2 CLK_RUN BIT_COUNTER Obr. 19: Schematická značka obvodu BIC 29

Controller Area Network (CAN)

Controller Area Network (CAN) Controller Area Network (CAN) 1.Úvod Controller Area Network (CAN) je sériový komunikační protokol, který byl původně vyvinut firmou Bosch pro nasazení v automobilech. Vzhledem k tomu, že přední výrobci

Více

Distribuované systémy a počítačové sítě

Distribuované systémy a počítačové sítě Distribuované systémy a počítačové sítě Universal Serial Bus - USB Komunikační principy Enumerace Standardní třídy zařízení Obecné charakteristiky distribuovaná datová pro připojení počítačových periferií

Více

Rozhraní USB. Rozhraní USB. Specifikace USB. Doplnění (upřesnění) 1.0. Rychlosti Low Speed (1.5 Mb/sec) a Full Speed (12 Mb/sec).

Rozhraní USB. Rozhraní USB. Specifikace USB. Doplnění (upřesnění) 1.0. Rychlosti Low Speed (1.5 Mb/sec) a Full Speed (12 Mb/sec). 1 Specifikace USB USB 1.0 Původní specifikace. USB 1.1 Doplnění (upřesnění) 1.0. Rychlosti Low Speed (1.5 Mb/sec) a Full Speed (12 Mb/sec). USB 2.0 Doplněno o High Speed (480 Mb/sec.) a další rozšíření

Více

IPZ laboratoře. Analýza komunikace na sběrnici USB L305. Cvičící: Straka Martin, Šimek Václav, Kaštil Jan. Cvičení 2

IPZ laboratoře. Analýza komunikace na sběrnici USB L305. Cvičící: Straka Martin, Šimek Václav, Kaštil Jan. Cvičení 2 IPZ laboratoře Analýza komunikace na sběrnici USB L305 Cvičení 2 2008 Cvičící: Straka Martin, Šimek Václav, Kaštil Jan Obsah cvičení Fyzická struktura sběrnice USB Rozhraní, konektory, topologie, základní

Více

Local Interconnect Network - LIN

Local Interconnect Network - LIN J. Novák Czech Technical University in Prague Faculty of Electrical Engineering Dept. Of Measurement Distributed Systems in Vehicles CAN LIN MOST K-line Ethernet FlexRay Základní charakteristiky nízká

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

1. CAN BUS. Obr. 1.1 Datová sběrnice CAN Struktura řídící jednotky s podpůrnými obvody pro CAN je zobrazena na následujícím obrázku.

1. CAN BUS. Obr. 1.1 Datová sběrnice CAN Struktura řídící jednotky s podpůrnými obvody pro CAN je zobrazena na následujícím obrázku. 1. CAN BUS Moderní konstrukce vozidel dnes zpravidla zahrnuje více digitálních řídících jednotek, které jsou navzájem propojeny. Procesy ovládané těmito jednotkami se synchronizují a jejich parametry průběţně

Více

Ústav automobilního a dopravního inženýrství. Datové sběrnice CAN. Brno, Česká republika

Ústav automobilního a dopravního inženýrství. Datové sběrnice CAN. Brno, Česká republika Ústav automobilního a dopravního inženýrství Datové sběrnice CAN Brno, Česká republika Obsah Úvod Sběrnice CAN Historie sběrnice CAN Výhody Sběrnice CAN Přenos dat ve vozidle s automatickou převodovkou

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Periferní zařízení, cvičení IPZ Analýza komunikace na sběrnici USB

Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Periferní zařízení, cvičení IPZ Analýza komunikace na sběrnici USB Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Periferní zařízení, cvičení IPZ Analýza komunikace na sběrnici USB Úloha č. 2. Zadání: 1. Seznamte se s principy komunikace na sériovém

Více

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic.

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic. Základní principy konstrukce systémové sběrnice - shrnutí Shrnout základní principy konstrukce a fungování systémových sběrnic. 1 Co je to systémová sběrnice? Systémová sběrnice je prostředek sloužící

Více

Universal Serial Bus (USB)

Universal Serial Bus (USB) Universal Serial Bus (USB) Terminologie V sestavách se zařízeními USB se používá architektura master slave. Počítač je master. Oba konce kabelu nejsou kompatibilní downstream/upstream. počítač upstream

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Universal Serial Bus. Téma 12: USB. Komunikační principy Enumerace Standardní třídy zařízení

Universal Serial Bus. Téma 12: USB. Komunikační principy Enumerace Standardní třídy zařízení Universal Serial Bus Téma 12: USB Komunikační principy Enumerace Standardní třídy zařízení Obecné charakteristiky distribuovaná datová pro připojení počítačových periferií klávesnice, myš, Flash disk,

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 8 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií Autor: Tomáš Válek, xvalek02@stud.fit.vutbr.cz Login: xvalek02 Datum: 21.listopadu 2012 Obsah 1 Úvod do rozhraní I 2 C (IIC) 1 2 Popis funkčnosti

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Seriové ATA, principy, vlastnosti

Seriové ATA, principy, vlastnosti Seriové ATA, principy, vlastnosti Snahy o zvyšování rychlosti v komunikaci s periferními zařízeními jsou velmi problematicky naplnitelné jedním z omezujících faktorů je fyzická konstrukce rozhraní a kabelů.

Více

Pozice sběrnice v počítači

Pozice sběrnice v počítači SBĚRNICE - souhrn Pozice sběrnice v počítači Systémová sběrnice nebo vstup/výstupní sběrnice. Systémová sběrnice komunikace mezi procesorem a ostatními komponentami počítače Operace: zápis/čtení do/z registru,

Více

Sériové komunikace KIV/PD Přenos dat Martin Šimek

Sériové komunikace KIV/PD Přenos dat Martin Šimek Sériové komunikace KIV/PD Přenos dat Martin Šimek O čem přednáška je? 2 Konfigurace datového spoje Sériová rozhraní RS-232, RS-485 USB FireWire Konfigurace datového spoje 3 Topologie datového spoje 4 Rozhraní

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

TOPOLOGIE DATOVÝCH SÍTÍ

TOPOLOGIE DATOVÝCH SÍTÍ TOPOLOGIE DATOVÝCH SÍTÍ Topologie sítě charakterizuje strukturu datové sítě. Popisuje způsob, jakým jsou mezi sebou propojeny jednotlivá koncová zařízení (stanice) a toky dat mezi nimi. Topologii datových

Více

Vrstvy periferních rozhraní

Vrstvy periferních rozhraní Vrstvy periferních rozhraní Cíl přednášky Prezentovat, jak postupovat při analýze konkrétního rozhraní. Vysvětlit pojem vrstvy periferních rozhraní. Ukázat způsob využití tohoto pojmu na rozhraní RS 232.

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

EXTRAKT z české technické normy

EXTRAKT z české technické normy EXTRAKT z české technické normy Extrakt nenahrazuje samotnou technickou normu, je pouze informativním ICS 35.240.60 materiálem o normě. Dopravní telematika Vyhrazené spojení krátkého rozsahu (DSRC) Datová

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

Sériová rozhraní SPI, Microwire, I 2 C a CAN

Sériová rozhraní SPI, Microwire, I 2 C a CAN Sériová rozhraní SPI, Microwire, I 2 C a CAN K.D. 2002 1 Úvod Sériová komunikační rozhraní se v mikropočítačové technice používají ke dvěma základním účelům: 1. Ke komunikaci mezi jednotlivými mikropočítačovými

Více

Protokol S-BUS pro MORSE Popis protokolu

Protokol S-BUS pro MORSE Popis protokolu Popis protokolu verze 7.21 6. května 2008 1. Úvod Protokol S-Bus (dále jen S-Bus-MORSE) je implementován do systému MORSE jako přístupový modul pro komunikaci se zařízením PCD SAIA. Protokol je typu MASTER/SLAVE,

Více

Principy komunikace s adaptéry periferních zařízení (PZ)

Principy komunikace s adaptéry periferních zařízení (PZ) Principy komunikace s adaptéry periferních zařízení (PZ) Několik možností kategorizace principů komunikace s externími adaptéry, např.: 1. Podle způsobu adresace registrů, které jsou součástí adaptérů.

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

AS-Interface. AS-Interface. = Jednoduché systémové řešení

AS-Interface. AS-Interface. = Jednoduché systémové řešení AS-Interface = Jednoduché systémové řešení Představení technologie AS-Interface Technologie AS-Interface Přenosové vlastnosti Instalace Základní všeobecný popis Síťová topologie Princip komunikace AS-Interface

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

AS-Interface. AS-Interface = Jednoduché systémové řešení. Představení technologie AS-Interface

AS-Interface. AS-Interface = Jednoduché systémové řešení. Představení technologie AS-Interface = Jednoduché systémové řešení Představení technologie Česká republika 2 Technologie Přenosové vlastnosti Instalace Základní všeobecný popis Síťová topologie Princip komunikace Diagnostika Přenos analogových

Více

Přerušovací systém s prioritním řetězem

Přerušovací systém s prioritním řetězem Přerušovací systém s prioritním řetězem Doplňující text pro přednášky z POT Úvod Přerušovací systém mikropočítače může být koncipován několika způsoby. Jednou z možností je přerušovací systém s prioritním

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Periferní operace využívající přímý přístup do paměti

Periferní operace využívající přímý přístup do paměti Periferní operace využívající přímý přístup do paměti Základní pojmy Programová obsluha periferní operace řízení této činnosti procesorem. Periferní operace využívající přerušení řízení řadičem přerušení,

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI

Rozhraní SCSI. Rozhraní SCSI. Architektura SCSI 1 Architektura SCSI 2 ParalelnírozhraníSCSI Sběrnice typu multimaster. Max. 8 resp. 16 zařízení. Různé elektrické provedení SE (Single Ended) HVD (High Voltage Differential) LVD (Low Voltage Differential)

Více

USB. Universal Serial Bus. www.usb.org. revize 2.0 z 27.dubna 200

USB. Universal Serial Bus. www.usb.org. revize 2.0 z 27.dubna 200 USB Universal Serial Bus www.usb.org revize 2.0 z 27.dubna 200 Proč vznikla? Základní charakteristika USB bylo třeba vytvořit nové univerzální a dostatečně rychlé rozhraní pro vícenásobné připojení různých

Více

Modemy a síťové karty

Modemy a síťové karty Modemy a síťové karty Modem (modulator/demodulator) je zařízení, které konvertuje digitální data (používané v PC) na analogové signály, vhodné pro přenos po telefonních linkách. Na druhé straně spojení

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p

enos dat rnici inicializaci adresování adresu enosu zprávy start bit átek zprávy paritními bity Ukon ení zprávy stop bitu ijíma potvrzuje p Přenos dat Ing. Jiří Vlček Následující text je určen pro výuku předmětu Číslicová technika a doplňuje publikaci Moderní elektronika. Je vhodný i pro výuku předmětu Elektronická měření. Přenos digitálních

Více

AS-Interface. AS-Interface. = Jednoduché systémové řešení

AS-Interface. AS-Interface. = Jednoduché systémové řešení AS-Interface = Jednoduché systémové řešení Představení technologie AS-Interface Technologie AS-Interface Přenosové vlastnosti Instalace Základní všeobecný popis Síťová topologie Princip komunikace AS-Interface

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT Mgr. Petr

Více

CAL (CAN Application Layer) a CANopen

CAL (CAN Application Layer) a CANopen CAL (CAN Application Layer) a CANopen J. Novák České vysoké učení technické v Praze Fakulta elektrotechnická Katedra měření Průmyslový distribuovaný systém na bázi sběrnice CAN Pressure sensor Stepper

Více

FVZ K13138-TACR-V004-G-TRIGGER_BOX

FVZ K13138-TACR-V004-G-TRIGGER_BOX TriggerBox Souhrn hlavních funkcí Synchronizace přes Ethernetový protokol IEEE 1588 v2 PTP Automatické určení možnosti, zda SyncCore zastává roli PTP master nebo PTP slave dle mechanizmů standardu PTP

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Název veřejné zakázky: Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Technická podmínka: Odůvodnění Zaškolení obsluhy:

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Řízení IO přenosů DMA řadičem

Řízení IO přenosů DMA řadičem Řízení IO přenosů DMA řadičem Doplňující text pro POT K. D. 2001 DMA řadič Při přímém řízení IO operací procesorem i při použití přerušovacího systému je rychlost přenosu dat mezi IO řadičem a pamětí limitována

Více

Měřicí systémy. Obsah. Systémy složené z autonomních měřicích přístrojů a modulů Sériová rozhraní. Sériová rozhraní - pokračování 1

Měřicí systémy. Obsah. Systémy složené z autonomních měřicích přístrojů a modulů Sériová rozhraní. Sériová rozhraní - pokračování 1 Literatura: Měřicí systémy Haasz,V.-Roztočil,J.-Novák,J.: Číslicové měřicí systémy.vydavatelství ČVUT, Praha 2000. Obsah Úvod Systémy složené z autonomních přístrojů a modulů Seriová rozhraní Paralelní

Více

Systémy pro sběr a přenos dat

Systémy pro sběr a přenos dat Systémy pro sběr a přenos dat Centralizované SPD VME, VXI Compact PCI, PXI, PXI Express Sběrnice VME 16/32/64 bitová paralelní sběrnice pro průmyslové aplikace Počátky v roce 1981 neustále se vyvíjí původní

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

Počítačové sítě Datový spoj

Počítačové sítě Datový spoj (Data Link) organizovaný komunikační kanál Datové jednotky rámce(frames) indikátory začátku a konce rámce režijní informace záhlaví event. zápatí rámce (identifikátor zdroje a cíle, řídící informace, informace

Více

Základní normalizované datové přenosy

Základní normalizované datové přenosy Základní normalizované datové přenosy Ing. Lenka Kretschmerová, Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl v rámci projektu ESF

Více

Metody připojování periferií

Metody připojování periferií Metody připojování periferií BI-MPP Přednáška 3 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

PROTOKOL RDS. Dotaz na stav stanice " STAV CNC Informace o stavu CNC a radiové stanice FORMÁT JEDNOTLIVÝCH ZPRÁV

PROTOKOL RDS. Dotaz na stav stanice  STAV CNC Informace o stavu CNC a radiové stanice FORMÁT JEDNOTLIVÝCH ZPRÁV PROTOKOL RDS Rádiový modem komunikuje s připojeným zařízením po sériové lince. Standardní protokol komunikace je jednoduchý. Data, která mají být sítí přenesena, je třeba opatřit hlavičkou a kontrolním

Více

Vrstvy periferních rozhraní

Vrstvy periferních rozhraní Vrstvy periferních rozhraní Úvod Periferní zařízení jsou k počítačům připojována přes rozhraní (interface). Abstraktní model periferního rozhraní sestává z vrstev, jejich hranice nejsou však vždy jasné

Více

Kódování signálu. Problémy při návrhu linkové úrovně. Úvod do počítačových sítí. Linková úroveň

Kódování signálu. Problémy při návrhu linkové úrovně. Úvod do počítačových sítí. Linková úroveň Kódování signálu Obecné schema Kódování NRZ (bez návratu k nule) NRZ L NRZ S, NRZ - M Kódování RZ (s návratem k nule) Kódování dvojí fází Manchester (přímý, nepřímý) Diferenciální Manchester 25.10.2006

Více

Princip funkce počítače

Princip funkce počítače Princip funkce počítače Princip funkce počítače prvotní úlohou počítačů bylo zrychlit provádění matematických výpočtů první počítače kopírovaly obvyklý postup manuálního provádění výpočtů pokyny pro zpracování

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

Přednášející: Zdeněk Kotásek. Ústav počítačových systémů, místnost č. 25

Přednášející: Zdeněk Kotásek. Ústav počítačových systémů, místnost č. 25 PERIFERNÍ ZAŘÍZENÍ Přednášející: Zdeněk Kotásek Ústav počítačových systémů, místnost č. 25 1 Periferní operace základní principy Na periferní operaci se podílejí: počítač systémová sběrnice adaptér V/V

Více

Univerzita Jana Evangelisty Purkyně Automatizace Téma: Datová komunikace. Osnova přednášky

Univerzita Jana Evangelisty Purkyně Automatizace Téma: Datová komunikace. Osnova přednášky Osnova přednášky 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím Převodník RS232 na RS485 nebo RS422 Průmyslové provedení s krytím. UC485P Katalogový list Vytvořen: 21.1.2005 Poslední aktualizace: 5.5 2008 12:30 Počet stran: 16 2008 Strana 2 UC485P OBSAH Základní informace...

Více

Systémová sběrnice, souvislost architektury počítače a systémové

Systémová sběrnice, souvislost architektury počítače a systémové Systémová sběrnice, souvislost architektury počítače a systémové sběrnice, principy činnosti Některé aspekty V/V sběrnic Cíl přednášky: Ukázat, jak se vyvíjely architektury počítačů v souvislosti s architekturami

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Disková pole (RAID) 1

Disková pole (RAID) 1 Disková pole (RAID) 1 Architektury RAID Důvod zavedení RAID: reakce na zvyšující se rychlost procesoru. Pozice diskové paměti v klasickém personálním počítači vyhovuje pro aplikace s jedním uživatelem.

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a prodej

Více

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování

Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem Elektrickém zapojení Principu činnosti Způsobu programování 8. Rozšiřující deska Evb_IO a Evb_Motor Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Rozšiřující desce s dalšími paralelními porty Rozšiřující desce s motorkem

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Vstupně - výstupní moduly

Vstupně - výstupní moduly Vstupně - výstupní moduly Přídavná zařízení sloužící ke vstupu a výstupu dat bo k uchovávání a archivaci dat Nejsou připojována ke sběrnici přímo, ale prostřednictvím vstupně-výstupních modulů ( ů ). Hlavní

Více

AGP - Accelerated Graphics Port

AGP - Accelerated Graphics Port AGP - Accelerated Graphics Port Grafiku 3D a video bylo možné v jisté vývojové etapě techniky pracovních stanic provozovat pouze na kvalitních pracovních stanicích (cena 20 000 USD a více) - AGP představuje

Více

Konektory a Kabely. Aneb zařízení integrovaná do základní desky a konektory a kabeláž pro připojení externích zařízení

Konektory a Kabely. Aneb zařízení integrovaná do základní desky a konektory a kabeláž pro připojení externích zařízení Karel Johanovský Michal Bílek SPŠ-JIA Konektory a Kabely Aneb zařízení integrovaná do základní desky a konektory a kabeláž pro připojení externích zařízení 1 Zařízení integrovaná do MB Základní deska se

Více

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM

Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM Paměti Rambus DRAM (RDRAM) Paměti Flash Paměti SGRAM 1 Požadavky na RDRAM - začátky Nové DRAM musí zajistit desetinásobné (?) zvýšení šířky pásma srovnání výkonu procesoru a paměti. Náklady na výrobu a

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

Knihovna EpsnetLib TXV 003 73.01 první vydání září 2012 změny vyhrazeny

Knihovna EpsnetLib TXV 003 73.01 první vydání září 2012 změny vyhrazeny Knihovna EpsnetLib TXV 003 73.01 první vydání září 2012 změny vyhrazeny 1 TXV 003 73.01 Historie změn Datum Vydání Popis změn Září 2012 1 První vydání, popis odpovídá EpsnetLib_v11 OBSAH 1 Úvod...3 2 Datové

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Metody připojování periferií BI-MPP Přednáška 1

Metody připojování periferií BI-MPP Přednáška 1 Metody připojování periferií BI-MPP Přednáška 1 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

LLC multiplexing/demultiplexing MAC formát rámce a přístupová metoda Nabízí se možnost standardizace rozhraní spojové a fyzické vrstvy

LLC multiplexing/demultiplexing MAC formát rámce a přístupová metoda Nabízí se možnost standardizace rozhraní spojové a fyzické vrstvy Ethernet PHY Pohled do historie Vznik počátkem 70. let u firmy Xerox Mnoho variant fyzické vrstvy koaxiální kabel optická vlákna kroucená dvoulinka Spojová vrstva zachovávána stejná LLC multiplexing/demultiplexing

Více

LabView jako programovací jazyk II

LabView jako programovací jazyk II LabView jako programovací jazyk II - Popis jednotlivých funkcí palety Function I.část - Expresní funkce, struktury, Ing. Martin Bušek, Ph.D. Paleta Functions Základní prvky pro tvorbu programu blokového

Více

Úvod do mobilní robotiky AIL028

Úvod do mobilní robotiky AIL028 md at robotika.cz http://robotika.cz/guide/umor07/cs 11. října 2007 1 Definice Historie Charakteristiky 2 MCU (microcontroller unit) ATmega8 Programování Blikání LEDkou 3 Kdo s kým Seriový port (UART)

Více

Firmware řídící jednotky stejnosměrného generátoru

Firmware řídící jednotky stejnosměrného generátoru Firmware řídící jednotky stejnosměrného generátoru Zdeněk KOLKA Projekt FR-TI1/184 - Výzkum a vývoj systému řízení a regulace pozemního letištního zdroje Popis Řídicí jednotka GCU 400SG je elektronické

Více

PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT. Příručka uživatele. Střešovická 49, Praha 6, s o f c o s o f c o n.

PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT. Příručka uživatele. Střešovická 49, Praha 6,   s o f c o s o f c o n. PCKIT LPT MODUL SBĚRNICE IOBUS PRO PC LPT Příručka uživatele Střešovická 49, 162 00 Praha 6, e-mail: s o f c o n @ s o f c o n. c z tel./fax : (02) 20 61 03 48 / (02) 20 18 04 54, http :// w w w. s o f

Více

Komunikační protokol MODBUS RTU v displejích TDS

Komunikační protokol MODBUS RTU v displejích TDS Komunikační protokol MODBUS RTU v displejích TDS Kompletní popis protokolu 25. července 2012 w w w. p a p o u c h. c o m MODBUS RTU v TDS M O DBUS RTU v TDS Katalogový list Vytvořen: 6.4.2009 Poslední

Více

ZAŘÍZENÍ PRO VZDÁLENÝ SBĚR A PŘENOS DAT FIRMWARE

ZAŘÍZENÍ PRO VZDÁLENÝ SBĚR A PŘENOS DAT FIRMWARE 2011 Technická univerzita v Liberci Ing. Přemysl Svoboda ZAŘÍZENÍ PRO VZDÁLENÝ SBĚR A PŘENOS DAT FIRMWARE V Liberci dne 16. 12. 2011 Obsah Obsah... 1 Úvod... 2 Funkce zařízení... 3 Režim sběru dat s jejich

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Identifikátor materiálu: ICT-3-01

Identifikátor materiálu: ICT-3-01 Identifikátor materiálu: ICT-3-01 Předmět Téma sady Informační a komunikační technologie Téma materiálu Topologie sítí Autor Ing. Bohuslav Nepovím Anotace Student si procvičí / osvojí topologii počítačových

Více

Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic

Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic Obsluha periferních operací, přerušení a jeho obsluha, vybavení systémových sběrnic 1 Cíl přednášky Zabývat se principy využití principů přerušení. Popsat, jak se tyto principy odrazily v konstrukci systémových

Více