Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Rozměr: px
Začít zobrazení ze stránky:

Download "Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D."

Transkript

1 Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D.

2 Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů počet KO typu D enkódovací kombinace a 1 2až4 2 00,01,10 a 11 5 až ,001,010,011, 100,101,110,111 9 až až až

3 Stavové automaty Enkódování stavových automatů Existuje několik typu enkódování binární, twohot, one-hot a další Stav Binární One-hot Two-hot state state state state state state state state

4 Stavové automaty Enkódování stavových automatů Defaultní nastavení - binární styl enkódování Binárního enkódování Výhoda - nejmenší počet KO, 2 N stavů Nevýhoda - vyžaduje více doplňkové logiky, je pomalejší Pro ASIC One-hot enkódování Výhoda nevyžaduje velké množství doplňkové logiky (téměř žádná á logika posuvný registr), je rychlejší než binární enkódování Nevýhoda největší množství KO, pro každý stav vyžaduje 1 KO Pro FPGA Two-hot enkódování Kompromis mezi binárním a one-hot stylem Pomocí N KO je možné vytvořit N(N-1)/2 stavů

5 Stavové automaty Definování enkódování stavových automatů type STATE_TYPE is (S1, S2, S3, S4, S5, S6); attribute ENUM_ENCODING: ENCODING: STRING; attribute ENUM_ENCODING of STATE_TYPE:type is " "; signal present_state, next_state: STATE_TYPE;

6 Stavové automaty nevyužité stavy Ne vždy jsou všechny stavy využity Binární enkódování - např. 6stavů => 2 3-6=2, 2 stavy nevyužity One-hot enkódování např. 6 stavů => 2 6-6=58, 58 stavů nevyužito Může vzniknout problém, že se systém vlivem šumu, vnějších okolností dostane do nevyužitého stavu a už se nikdy nedostane z tohoto t stavu nepřípustné!!!! Stavový automat s ošetřením nevyužitých stavů se nazývá bezpečný stavový automat (Safe Finite-State-Machine) Návrh bezpečného stavového automatu Je potřeba přejít z nevyužitého stavu do definovaného stavu a definovat všechny výstupní signály v nevyužitém stavu when others => next_state <= st0; vystup1 <= ; vystup2 <= ; Jelikož typ state je výčtový, pak může být s tímto popisem v některých návrhových systémech problém Řešení použít jiný popis stavového automatu

7 Stavové automaty nevyužité stavy Jiný popis stavového automatu explicitně definované signály present_state a next_state Architecture constant idle : std_logic_vector(3 downto 0):="0000"; 0000 constant write: std_logic_vector(3 downto 0):="0100"; constant readl: std_logic_vector(3 downto 0):="1000"; constant idle : std_logic_vector(3 downto 0):="1001"; constant write: std_logic_vector(3 downto 0):="1010"; constant readl: std_logic_vector(3 downto 0):="1011"; signal present_state, next_state : std_logic_vector(3 downto 0); Begin Tímto zápisem je rovněž explicitně určen způsob enkódování stavového automatu

8 Stavové automaty synchronní výstupy Někdy bývá problém s výstupní logikou G výstupní hazardy nutná synchronizace Dva možné způsoby řešení 1) Zpoždění o jeden hodinový takt navíc 2) Bez výstupního zpoždění

9 Příklad: Nápojový automat Vlastnosti: 1) Blokové schéma nápojového automatu je zobrazeno na obrázku. 2) Po vhození částky 5 korun dojde vydání kávy 3) Automat vrací mince koruny a dvoukoruny j_in vstup: koruna d_in vstup: dvoukoruna p_in vstup: pětikoruna kava_out signalizace, že má být káva vydána j_out signalizace, že má být koruna vrácena d_out signalizace, že má být dvoukoruna vrácena Možnosti realizace: 1) Diskrétní dig. součástky ruční odvození vycházející z metodiky stavových automatů, obtížnější řešení, jedná se o komplexnější obvod 2) Obvod CPLD nebo FPGA popis systému stavovým automatem, realizace v návrhovém systému ISE WebPack v jazyce VHDL do obvodu CPLD nebo FPGA (návrhový systém má v sobě zabudované minimalizační a optimalizační algoritmy)

10 Příklad: nápojový automat na kávu Bezpečný stavový automat nápojového automatu d_in=1 d_in=1 d_in=1 d_in=1 st0 j_in=1 kava_out=0 j_out=0 d_out=0 p_in=1 st1 kava a_out=0 j_out=0 d_out=0 st2 st3 j_in=1 kava_ out=0 j_in=1 kava_ out=0 j_in=1 j_out=0 j_out=0 d_out=0 d_out=0 p_in=1 p_in=1 p_in=1 p_in=1 st4 kava_ out=0 j_out=0 d_out=0 j_in=1 st5 kava_out=1 j_out=0 d_out=0 st6 st7 st8 st9 st10...st15 kava_out=1 j_ out=1 d_out=0 kava_out=1 j_ out=0 d_out=1 kava_out=0 j_ out=1 d_out=0 kava_out=0 j_ out=0 d_out=1 kava_out=0 j_out=0 d_out=0 d_in=1 Kolik vstupů by měla kombinační logika F v případě ručního návrhu?

11 Příklad: nápojový automat na kávu VHDL popis nápojového automatu: entity automat is Port ( clk, rst: in STD_LOGIC; -- vstup j_in : in STD_LOGIC; -- vstup d_in : in STD_LOGIC; -- vstup p_in : in STD_LOGIC; -- vstup kava_out: out STD_LOGIC; -- vystup j_out : out STD_LOGIC; -- vystup d_out : out STD_LOGIC); -- vystup end automat; architecture Behavioral of automat is type state is (st0, st1, st2, st3, st4, st5, st6, st7, st8, st9); signal present_state, next_state : state; begin -- sekvencni cast stavoveho automatu process (clk, rst) begin if rst='1' then present_state <= st0; elsif rising_edge(clk) then present_state t t <= next_state; t t end process; Programovatelné logické obvody

12 Příklad: nápojový automat na kávu --kombinacni cast F a G stavoveho automatu (budici signaly pro klopne obvody) process (present_state, j_in, d_in, p_in) begin case present_state is when st0 => kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '0'; -- dvojkoruna neni vracena if (j_in='1') then next_state <= st1; elsif (d_in='1') then next_state <= st2; elsif (p_in='1') then next_state <= st5; when st1 => else next_state <= st0; -- prechod do stavu st0 kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '0'; -- dvojkoruna neni vracena if (j_in='1') then next_state <= st2; elsif (d_in='1') then next_state <= st3; elsif (p_in='1') then next_state t t <= st6; else next_state <= st1; -- prechod do stavu st1 Programovatelné logické obvody

13 Příklad: nápojový automat na kávu when st2 => kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '0'; -- dvojkoruna neni vracena if (j_in='1') then next_state <= st3; elsif (d_in='1') then next_state <= st4; elsif (p_in='1') then next_state <= st7; when st3 => else next_state <= st2; -- prechod do stavu st2 kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '0'; -- dvojkoruna neni vracena if (j_in='1') then next_state <= st4; elsif (d_in='1') then next_state <= st5; elsif (p_in='1') then next_state t t <= st8; else next_state <= st3; -- prechod do stavu st3

14 Příklad: nápojový automat na kávu when st4 => kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '0'; -- dvojkoruna neni vracena if (j_ in='1') then next_ state <= st5; elsif (d_in='1') then next_state <= st6; elsif (p_in='1') then next_state <= st9; else next_state <= st4; -- prechod do stavu st4 when st5 => kava_out <= '1'; -- kava je vydana j_out <= '0'; -- koruna neni vracena d_ out <= '0'; -- dvojkoruna neni vracena next_state <= st0; -- prechod do stavu st0 when st6 => kava_out <= '1'; -- kava je vydana j_ out <= '1'; -- koruna je vracena d_out <= '0'; -- dvojkoruna neni vracena next_state <= st0; -- prechod do stavu st0 when st7 => kava_ out <= '1'; -- kava je vydana j_out <= '0'; -- koruna neni vracena d_out <= '1'; -- dvojkoruna je vracena next_state <= st0; -- prechod do stavu st0

15 Příklad: nápojový automat na kávu end case; end process; end Behavioral; when st8 => kava_out <= '0'; -- kava neni vydana j_out <= '1'; -- koruna je vracena d_out <= '0'; -- dvojkoruna neni vracena next_state <= st7; -- prechod do stavu st7 when st9 => kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '1'; -- dvojkoruna je vracena next_state <= st7; -- prechod do stavu st7 when others => -- osetreni nevyuzitych stavu kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '0'; -- dvojkoruna je vracena next_state <= st0; -- prechod do stavu st0

16 Příklad: nápojový automat na kávu end case; end process; end Behavioral; when st8 => kava_out <= '0'; -- kava neni vydana j_out <= '1'; -- koruna je vracena d_out <= '0'; -- dvojkoruna neni vracena next_state <= st7; -- prechod do stavu st7 when st9 => kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '1'; -- dvojkoruna je vracena next_state <= st7; -- prechod do stavu st7 when others => -- osetreni nevyuzitych stavu kava_out <= '0'; -- kava neni vydana j_out <= '0'; -- koruna neni vracena d_out <= '0'; -- dvojkoruna je vracena next_state <= st0; -- prechod do stavu st0

17 Příklad: Nápojový automat Obvody CPLD, FPGA, jazyk VHDL y j y - Simulace

18 Příklad: Signalizace na křižovatce Vlastnosti: 1) Blokové schéma křižovatky je zobrazeno na obrázku. 2) Po dobu 8 sekund svítí červenáá na semaforu 1 azelená na semaforu 2. 3) Oranžová svítí po dobu 2 sekund na obou semaforech. 4) Po dobu 8 sekund svítí zelená na semaforu 1 a červená na semaforu 2. 5) Hodinový kmitočet clk=1 Hz. semafor 2 aut o auto semafor 1 Možnosti realizace: 1) Diskrétní dig. součástky ruční návrh vycházející z metodiky stavových automatů, obtížnější řešení, jedná se o komplexnější obvod 2) Obvod CPLD nebo FPGA popis systému stavovým automatem, realizace v návrhovém systému ISE WebPack v jazyce VHDL do obvodu CPLD nebo FPGA (návrhový systém má v sobě zabudované minimalizační a optimalizační algoritmy)

19 Příklad: Signalizace na křižovatce Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části.

20 Příklad: Signalizace na křižovatce Blok časovač slouží k odpočítání příslušného časového intervalu. V našem případě máme dva časové intervaly: 8 sekund v digitální itál binární reprezentaci se jedná o číslo l sekundy v digitální binární reprezentaci se jedná o číslo 001 Výstupy z časovače budou představovat vstupy do kombinační logiky F stavového automatu a budou ovlivňovat ň následující í stav. Výstupy z časovače č bude 3-bitové číslo l V a rozdělíme jej j na V2, V1 a V0. Blok N generuje signál EN, který povolí, že vstup X (binární hodnota 000) se přepíše na výstup V. Signál EN bude závislý jak na hodnotě předcházejícího stavu stavového automatu, tak i na aktuální hodnotě časovače. Pokud dojde k dosažení požadovaného časového intervalu (8 sekund nebo 2 sekundy) a časový interval bude korespondovat se současným stavem stavového automatu, tak bude výstup EN=1 současný vstupy výstup stav V2 V1 V0 EN? ? ostatní kombinace 0

21 Příklad: Signalizace na křižovatce Návrh 3-bitového časovače/čítače

22 V 001 Příklad: Signalizace na křižovatce Stavový diagram signalizace na křižovatce V=111 (time=8s) V=001 (time me=2s) V 001 V=001 (time=2s) V=111 (time=8s)

23 Příklad: Signalizace na křižovatce Pravdivostní tabulka stavů

24 Příklad: Signalizace na křižovatce Návrh kombinačního bloku N vstupy výstup současný stav současný stav V2 V1 V0 EN Q1 Q0 st st st st ostatní kombinace 0 EN = ( Q 0 V 2 V 1 V 0) + ( Q 0 V 2 V 1 V 0) = = ( Q0 V 2 V1 V 0) ( Q0 V 2 V1 V 0)

25 Příklad: Signalizace na křižovatce Stavový diagram signalizace na křižovatce EN=0 st1 01 EN=0 st0 00 r1=0,y1=1,g1=0 1,g1 0 r2=0,y2=1,g2=0 EN=1 (time=2s) EN=1 (time=8s) r1=1,y1=0,g1=0 r2=0,y2=0,g2=1 st0 11 EN=1 (time=8s) EN=1 (time=2s) st2 10 r1=0,y1=1,g1=0 1,g1 0 r2=0,y2=1,g2=0 EN=0 r1=0,y1=0,g1=1 r2=1,y2=0,g2=0 EN=0

26 Příklad: Signalizace na křižovatce Návrh bloku kombinačního bloku F vstupy výstupy ýt současný následují stav EN cí stav Q1 Q0 D1 D0 st st1 st st2 st st3 st st0 st st0 st st1 současný stav následující stav st st2 st st3 D1 = Q1 Q0 + Q1 EN + Q1 Q0 EN = Q1 Q0 Q1 EN Q1 Q0 EN D0 = Q0 EN + Q0 EN = Q0 EN Q0 EN

27 Příklad: Signalizace na křižovatce Návrh bloku kombinačního bloku G Současný stav Vstupy Současný stav Výstupy semafor 1 semafor 2 Q1 Q0 r1 y1 g1 r2 y2 g2 st st st st y1=y2 y2, r1=g2 a g1=r2 y 1 = Q0 0 r 1 = Q1 Q0 = Q1 + Q0 g 1 = Q1 Q0 = Q1 + Q0

28 Příklad: Signalizace na křižovatce VHDL popis signalizace (stavový automat): entity digi_top is Port ( clk, rst : in STD_LOGIC; r1,r2,y1,y2,g1,g2 : out STD_LOGIC); end digi_top; architecture Behavioral of automat is type state IS (st0, st1, st2, st3); signal present_state, next_state : state; CONSTANT time1 : std_logic_vector(2 downto 0) := "001"; CONSTANT time2 : std_logic_vector(2 downto 0) := "101"; signal count_reg, count_int : std_logic_vector(2 downto 0); signal en : std_logic; begin -- pametova cast stavoveho automatu a citace process (clk,rst) begin if (rst='1') then present_state <= st0; -- pocatecni stav po resetu count_reg <= "000"; -- vynulovani citace elsif (clk'event and clk='1') then -- detekce nabezne hrany present_state t t <= next_state; t t count_reg <= count_int; end process; Programovatelné logické obvody

29 Příklad: Signalizace na křižovatce -- kombinacni cast F citace count_int <= "000" when en='1' else count_reg+1; -- kombinacni blok N en<='1' when ((present_state=st0 and count_reg=time1) or (present_state=st1 state=st1 and count_reg =time2) or (present_state=st2 and count_reg=time1) or (present_state=st3 and count_reg=time2)) else '0'; -- kombinacni cast F a G stavoveho automatu process (present_state,en) begin case present_state is when st0 => next_state <= st0; r1<='0'; r2<='0'; y1<='1'; y2<='1'; g1<='0'; g2<='0'; if en='1' then when st1 => next_state <= st1; next_state <= st1; r1<='1'; 1 r2<='0'; y1<='0'; y2<='0'; g1<='0'; g2<='1'; if en='1' then next_state <= st2;

30 Příklad: Signalizace na křižovatce when st2 => next_state <= st2; r1<='0'; r2<='0'; y1<='1'; y2<='1'; g1<='0'; g2<='0'; if en='1' then next_state <= st3; when st3 => next_state <= st3; r1<='0'; r2<='1'; y1<='0'; y2<='0'; g1<='1'; g2<='0'; if en='1' then next_state <= st0; end case; end process; end Behavioral;

31 Příklad: Signalizace na křižovatce Stavový diagram signalizace na křižovatce st1 01 st0 00 r1=0,y1=1,g1=0,g r2=0,y2=1,g2=0 timer=2 q_reg=timer) q_reg=timer) r1=1,y1=0,g1=0 r2=0,y2=0,g2=1 timer=8 st0 11 r1=0,y1=0,g1=1 r2=1,y2=0,g2=0 timer=8 q_reg=time mer) q_reg=timer) st2 10 r1=0,y1=1,g1=0,g r2=0,y2=1,g2=0 timer=2

32 Příklad: Signalizace na křižovatce VHDL popis signalizace (lepší způsob zápisu): entity digi_top is Port ( clk, rst : in STD_LOGIC; r1,r2,y1,y2,g1,g2 : out STD_LOGIC); end digi_top; architecture Behavioral of automat is type state IS (st0, st1, st2, st3); signal present_state, next_state : state; CONSTANT time1 : std_logic_vector(2 downto 0) := "001"; CONSTANT time2 : std_logic_vector(2 downto 0) := "101"; signal count_reg, count_int : std_logic_vector(2 downto 0); signal timer : std_logic_vector(2 downto 0); begin -- pametova cast stavoveho automatu a citace process (clk,rst) begin if (rst='1') then present_state <= st0; -- pocatecni stav po resetu count_reg <= "000"; -- vynulovani citace elsif (clk'event and clk='1') then -- detekce nabezne hrany present_state t t <= next_state; t t count_reg <= count_int; end process; Programovatelné logické obvody

33 Příklad: Signalizace na křižovatce -- kombinacni cast F a G stavoveho automatu a citace process (present_state,count_reg) state reg) begin case present_state is when st0 => next_state <= st0; timer <=time1; r1<='0'; r2<='0'; y1<='1'; y2<='1'; g1<='0'; g2<='0'; if count_reg=timer then next_state <= st1; count_int <= "000"; else count_int <= count_reg+1; when st1 => next_state <= st1; timer <=time2; r1<='1'; 1 r2<='0'; y1<='0'; y2<='0'; g1<='0'; g2<='1'; if count_reg=timer then next_state <= st2; count_int <= "000"; else count_int <= count_reg+1;

34 Příklad: Signalizace na křižovatce when st2 => next_state <= st2; timer <=time1; r1<='0'; r2<='0'; y1<='1'; y2<='1'; g1<='0'; g2<='0'; if count_reg=timer then next_state <= st3; count_int <= "000"; else count_int <= count_reg+1; when st3 => next_state <= st3; timer <=time2; r1<='0'; r2<='1'; y1<='0'; y2<='0'; g1<='1'; 1 g2<='0'; if count_reg=timer then next_state <= st0; count_int <= "000"; else count_int <= count_reg+1; end case; end process; end Behavioral;

35 Ošetření zákmitů na tlačítku obvodové schéma V cc in =1 main_clk clk gnd Q N load shift_in clk D 0 D 1 D 2 D 3 shift_out out dělička kmitočtu 2 N posuvný registr

36 Ukázka parametrizované paměti RAM VHDL entity ram is GENERIC (x : integer := 32; y : integer :=4); port( clk,wr,rd : in std_logic; AddrWr,AddrRd : in std_logic_vector(y-1 downto 0); DataWr : in std_logic_vector(x vector(x-1 downto 0); DataRd : out std_logic_vector(x-1 downto 0)); end ram; architecture Behavioral of ram is type RamType is array(0 to (2**y)-1) of std_logic_vector(x-1 downto 0); signal Ram: RamType; begin process(clk) is begin if rising_edge(clk) then if WR='1' then Ram(conv _ integer(addrwr))<= DataWr; if RD='1' then DataRd <= Ram(conv_integer(AddrRd)); end process; end Behavioral;

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Synchronní 3-bitový čítač s KO D, asyn. RST a výstupem MAX Vlastnosti: ) Čítač inkrementuje svůj výstup o 2) Změna výstupu nastává vždy při změně náběžné

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL konstanty, signály a proměnné Jazyk VHDL paralelní a sekvenční doména Kurz A0B38FPGA

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

Sčítačky Válcový posouvač. Demonstrační cvičení 6

Sčítačky Válcový posouvač. Demonstrační cvičení 6 Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

Násobičky, Boothovo překódování. Demonstrační cvičení 7

Násobičky, Boothovo překódování. Demonstrační cvičení 7 Násobičky, Boothovo překódování INP Demonstrační cvičení 7 Obsah Princip násobení Sekvenční a kombinační násobička Kombinační násobičky ve VHDL Násobení se znaménkem (FX) Boothovo překódování, VHDL Násobení

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Implementace čítačů v číslicových systémech 2, DPS Plošné spoje od A do Z, no 4, pp. 11-14, 2011. Bez

Více

1 Stručný popis jazyku VHDL

1 Stručný popis jazyku VHDL 1 Stručný popis jazyku VHDL Jazyk VHDL (Very High Speed Integrated Circuits Hardware Description Language) je spolu s jazykem Verilog HDL jedním z nejpoužívanějším jazykům pro popis hardwarových struktur

Více

Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3)

Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3) VHAD - Návod k VHDL hadovi Obsah Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3)... 1 Příklad č. 2 Blikající LED... 3 Příklad č. 3 Časovač 1s... 4 Příklad č. 4 Had 8 x LED

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Pokročilé využití jazyka VHDL. Pavel Lafata

Pokročilé využití jazyka VHDL. Pavel Lafata Pokročilé využití jazyka VHDL Pavel Lafata Autor: Pavel Lafata Název díla: Pokročilé využití jazyka VHDL Zpracoval(a): České vysoké učení technické v Praze Fakulta elektrotechnická Kontaktní adresa: Technická

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

r90>25=.nt>+>7z5n2k<1561/+;5n{.57u07k{16;5=.nt>+>7z5n2k<15n>29l.05,90>2/3k5n2k7,50{10;<o5>/>?ˆ581:+z6,561/+;

r90>25=.nt>+>7z5n2k<1561/+;5n{.57u07k{16;5=.nt>+>7z5n2k<15n>29l.05,90>2/3k5n2k7,50{10;<o5>/>?ˆ581:+z6,561/+; 33069 306074760630396 01234567896945606 2926922 736963 73 093769!"674 279023 36&'(' 7362639226667 36709216369331 47699439416643748 933 20643994341 7163699699966373 9963639932 67#4$6% 69 ()!'*6)* de9065@f7ge)'*#6h'6'6i'j6klf

Více

Implementace čítačů v číslicových systémech Jakub Šťastný

Implementace čítačů v číslicových systémech Jakub Šťastný 1 Úvod Implementace čítačů v číslicových systémech Jakub Šťastný Čítač je fundamentálním obvodovým blokem nezbytným pro návrh většiny číslicových systémů. Blok čítače je v číslicových obvodech používán

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

B i b l i o g r a f i c k á c i t a c e

B i b l i o g r a f i c k á c i t a c e 1 B i b l i o g r a f i c k á c i t a c e HUZLÍK, P. Vzorové úlohy ve VHDL. Brno:,, 2008. 80 s. Vedoucí bakalářské práce Ing. Radovan Holek, CSc. 2 Prohlášení Prohlašuji, že svou bakalářskou práci na téma

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

Použití programovatelného čítače 8253

Použití programovatelného čítače 8253 Použití programovatelného čítače 8253 Zadání 1) Připojte obvod programovatelný čítač- časovač 8253 k mikropočítači 89C52. Pro čtení bude obvod mapován do prostoru vnější programové (CODE) i datové (XDATA)

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

14. Složitější konstrukce

14. Složitější konstrukce Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

3.7.5 Znaménkové operátory Násobící operátory Rùzné operátory Základní objekty Konstanty Sig

3.7.5 Znaménkové operátory Násobící operátory Rùzné operátory Základní objekty Konstanty Sig OBSAH Úvod 11 Signály v èíslicových systémech 13 2.1 Dvojstavové signály... 14 2.2 Tøístavové signály... 16 2.3 Dynamické parametry èíslicových signálù... 16 Jazyk VHDL 19 3.1 Historie, souèasnost, budoucnost

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Hardwarová realizace konečných automatů

Hardwarová realizace konečných automatů BI-AAG - Automaty a gramatiky Katedra teoretické informatiky ČVUT FIT 11.1.21 Co potřebujeme Úvod Potřebujeme: zakódovat vstupní abecedu, zakódovat stavy automatu, pamatovat si současný stav, realizovat

Více

2. Entity, Architecture, Process

2. Entity, Architecture, Process Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Návrh FPGA systémů. Jazyk VHDL, principy simulace. Ing. Jakub Št astný, Ph.D. 1

Návrh FPGA systémů. Jazyk VHDL, principy simulace. Ing. Jakub Št astný, Ph.D. 1 Návrh FPGA systémů Jazyk VHDL, principy simulace Ing. Jakub Št astný, Ph.D. 1 1 stastnj1@seznam.cz FPGA laboratory under the Biosignal processing laboratory Department of Circuit Theory, FEE CTU Prague

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

TECHNICKÝ POPIS MODULU GRAFIK =============================

TECHNICKÝ POPIS MODULU GRAFIK ============================= listů: 8 list : 1 TECHNICKÝ POPIS MODULU GRAFIK ============================= zpracoval: Nevoral schválil: Cajthaml ZPA, k.p. Nový Bor, listopad 1985 4-151-00342-4 list: 1 list: 2 1. VŠEOBECNĚ Obvody realizované

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Základní znaky. - Ve srovnání se Spice jsou velmi složité a vyžadují dlouhou dobu na plné osvojení. - Velmi nákladné simulační programy.

Základní znaky. - Ve srovnání se Spice jsou velmi složité a vyžadují dlouhou dobu na plné osvojení. - Velmi nákladné simulační programy. VHDL-AMS Počátek jazyků HDL sahá do šedesátých let. V průběhu doby vznikla celá řada jazyků FAS (Anacad 1988), SpetreHDL (Cadence 94), MAST (Analogy 1986) a jiné. V současné době hrají největší roli jazyky

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

Použití jazyka VHDL pro návrh číslicových obvodů

Použití jazyka VHDL pro návrh číslicových obvodů 440 A U T O M A T I Z A C E R O Č N Í K 5 1 Č Í S L O 7 Č E R V E N E C S R P E N 2 0 0 Použití jazyka VHDL pro návrh číslicových obvodů Předchozí díl volného pokračování seriálu seznámil čtenáře s kroky

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02

REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 Programovatelná řídící jednotka REG10 návod k instalaci a použití 2.část Univerzální časovač a čítač AVC/ 02 1 Obsah: 1. Obecný popis... 3 1.1 Popis programu... 3 1.2 Vstupní vyhodnocované hodnoty... 3

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér

Více

Digitální technika. Jazyk VHDL, základy návrhu. Ing. Jakub Št astný, Ph.D. 1

Digitální technika. Jazyk VHDL, základy návrhu. Ing. Jakub Št astný, Ph.D. 1 Digitální technika Jazyk VHDL, základy návrhu Ing. Jakub Št astný, Ph.D. 1 1 stastnj1@seznam.cz FPGA laboratory Department of Circuit Theory, FEE CTU Prague Technická 2, Praha 6, 166 27 http://amber.feld.cvut.cz/fpga

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL zápis čísel, znaků a řetězců Jazyk VHDL základní datové typy a operátory Kurz

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24 Logcký sekvenční obvod Logcký

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) imní semestr 2/2 Jiří Douša, katedra číslicového návrhu (K83), České vysoké učení technické v Prae,

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ ÚSTAV RADIOELEKTRONIKY FACULTY OF ELECTRICAL ENGINEERING AND COMMUNICATION DEPARTMENT OF

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

OPERAČNÍ PROGRAM PRAHA ADAPTABILITA & EU:

OPERAČNÍ PROGRAM PRAHA ADAPTABILITA & EU: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Nástroje a metody pro simulaci, tvorba TestBench souborů Speciální interní struktury FPGA

Více

Úvod do problematiky obvodů FPGA pro integrovanou výuku VUT a VŠB-TUO

Úvod do problematiky obvodů FPGA pro integrovanou výuku VUT a VŠB-TUO FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Úvod do problematiky obvodů FPGA pro integrovanou výuku VUT a VŠB-TUO Garant předmětu: Ing. Michal Kubíček, Ph.D. Autoři

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Simulace číslicových obvodů na hradlové úrovni: model návrhu Jakub Šťastný ASICentrum, s.r.o. Katedra teorie obvodů FEL ČVUT Praha

Simulace číslicových obvodů na hradlové úrovni: model návrhu Jakub Šťastný ASICentrum, s.r.o. Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Simulace číslicových obvodů na hradlové úrovni: model návrhu, DPS Elektronika od A do Z, pp. 6-12, leden/únor

Více

Konečné automaty (sekvenční obvody)

Konečné automaty (sekvenční obvody) Konečné automaty (sekvenční obvody) Název školy: SPŠ Ústí nad Labem, středisko Resslova Autor: Ing. Pavel Votrubec Název: VY_32_INOVACE_03_CIT_42_III_Seminarni_prace_navrh_KA Téma: Návrhy zadání III. Seminární

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné N4444 Měřicí a řídicí technika 22/23 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automat Matematický základ logického řízení

Více