... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

Rozměr: px
Začít zobrazení ze stránky:

Download "... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu"

Transkript

1 Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student Cíle Praktický návrh složitějších stavových automatů. Implementace návrhu do cílového obvodu FPGA Spartan3-200k na vývojové desce Spartan-3 Starter Board. Teoretický úvod Stavové automaty představují nejobecnější číslicové subsystémy zahrnující jak kombinační, tak i sekvenční podbloky (paměťová část). Obecné blokové schéma stavového automatu je na obrázku 1. vstupy Kontrolní logika (kombinační část) sekvenční vstupy sekvenční Výstupní dekodér (nepovinná část) Paměťová část (sekvenční část) Obr. 1: Obecné schéma stavového automatu Další způsob znázornění stavového automatu pomocí blokového schématu je na obrázku 2. Kombinační logika definující následující stav F je funkce současného stavu a vstupů. Kombinační logika G definující hodnoty výsupů je funkcí současného stavu. Bloky F a G obsahují pouze z kombinační logiku.

2 vstupy n Komb. Logika (následující stav) buzení Paměťová část současný stav Výstupní logika F G Obr. 2: Blokové schéma stavového automatu Analýza stavového automatu řízeného hodinovým signálem se skládá z těchto základních kroků: Určení následujícího stavu a výstupních funkcí F a G. Využití F a G k vytvoření stavové/výstupní tabulky, která definuje následující stav a výstup pro všechny možné kombinace současného stavu a vstupu. Nakreslení stavového diagramu, který definuje přechod mezi jednotlivými stavy. Enkódování stavových automatů Enkódování stavového automatu je proces, který rozhoduje o tom, kolik paměťových prvků (např. klopný obvod typu D) bude použito při návrhu paměťové části stavového automatů. Počet těchto prvků bude závislý na počtu stavů a na typu zvoleného enkódování. Nejčastějším typem enkódováním je binární. V tabulce 1 je zobrazen počet potřebných klopných obvodů typu D v závislosti na počtu stavů. Tab. 1: Závislost počtu stavů a potřebných KO typu D počet stavů počet KO typu D enkódovací kombinace a 1 3 až , 01, 10, 11 5 až , 001, 010, 011, 100, 101, 110, až až až

3 Symbolické značení stavů stavového automatu ve stavovém diagtamu je na obrázku 3. st0 000 název stavu enkódovací kombinace stavu Obr. 3: Symbolické značení stavů stavového automatu ve stavovém diagramu Ukázka přechodu mezi jednotlivými stavy je zobrazena na obrázku 4. Přechody mezi jednotlivými stavy se dějí vždy při náběžné hraně hodinového signálu a splněných vstupních podmínkách. Tyto podmínky nejsou povinné a vždy záleží na příslušném zadání a funkci stavového automatu. podmínka5 or podmínka6 st0 00 podmínka7 st1 01 přechod proběhne při náběžné hraně hodinového signálu a zároveň musí být splněna podmínka5 nebo podmínka6 podmínka1 přechod proběhne pouze při náběžné hraně hodinového signálu st2 podmínka2 přechod proběhne při náběžné hraně hodinového signálu a zároveň musí být splněna podmínka2 10 podmínka3 and podmínka4 přechod proběhne při náběžné hraně hodinového signálu a zároveň musí být splněna podmínka3 a podmínka4 Obr. 4: Ukázka možných přechodů ve stavovém diagramu

4 Zadání laboratorní úlohy Nápojový automat na kávu Definování problému Tato úloha demonstruje návrh nápojového automatu na kávu. Bude realizována na speciálním modulu, který je nutné zasunout do konektoru B1 na vývojové desce. Zapojení 7-segmentového displeje, tlačítka a LED diody je na následujícím obrázku. Budeme předpokládat, že všechny elektromechanické části nápojového automatu jsou zrealizovány a náš návrh se bude zaměřovat pouze na návrh logické části. Nápojový automat bude fungovat následujícím způsobem: 1) Vhazuje se jednokorunová (BTN7) a dvoukorunová mince (BTN8). 2) Káva bude vydána, když budou v automatu (zásobníku) 3 Kč. 3) Může nastat situace, že v automatu budou 4 Kč po vhození dvou dvoukorunových mincí po sobě. Automat bude schopen vrátit jednokorunovou minci. 4) Nemůže nastat případ, že by byly vhozeny obě mince najednou. 5) Pokud bude stlačeno tlačítko nápoje 1 (BTN1), objeví se na 7-segmentovém displeji jeho cena. V klidovém stavu se na 7-segmentovém displeji bude zobrazovat celková suma vhozených mincí.

5 Opět se jedná o sekvenční systém. Tento sekvenční systém má 5 vstupů (j, d, napoj,, rst) a 3 (kava_out, j_out, seg). j d kava_out napoj nápojový automat 7 j_out seg rst 1) Vstupy a. vstup (T9) Hodinový signál. b. vstup rst (M13) asynchronní reset. Přechod do počátečního stavu. c. vstup j (C16) Pokud byla vhozena jednokorunová mince do nápojového automatu, tak se na vstupu j objeví log. 1 po dobu jednoho hodinového taktu. Bude nutné rovněž ošetřit zákmity na tlačítku. d. vstup d (B16) Pokud byla vhozena dvoukorunová mince do nápojového automatu, tak se na vstupu d objeví log. 1 po dobu jednoho hodinového taktu. Bude nutné rovněž ošetřit zákmity na tlačítku e. vstup napoj (E10) tento vstup je asynchronní. Po zmáčknutí bude zobrazeno na 7-segmentovém displeji cena nápoje v jakémkoliv stavu. Zde nebude použit obvod debouncer s generováním jednoho výstupního pulzu. Na vstupy j a d je nutné připojit obvod pro ošetření zákmitů na tlačítkách a rovněž generovat jeden výstupní pulz pod dobu jednoho hodinového taktu. K tomu bude sloužit obvod DEBOUNCER s generováním jednoho výstupního pulzu. Použijte váš popis obvodu debouncer z minulého cvičení. 2) Výstupy a. výstup kava_out (K16) Výstup kava_out indikuje, že byly do nápojového automatu vhozeny 3 Kč a káva může být vydána. Výstup kava_out je v úrovni log.1 po dobu jednoho hodinového taktu. b. výstup j_out (F15) Výstup j_out indikuje, že byly vhozeny 4 Kč a tudíž má být vrácena 1 Kč. Výstup j_out je v úrovni log.1 po dobu jednoho hodinového taktu. c. výstup seg (C11,D12,R7,C12,D11,P10,T3) 7-bitový výstupní signál pro řízení 7-segmentového displeje

6 Vypracování laboratorní úlohy Úkol č. 1 (1 bod) Nakreslete stavový diagramnápojového automatu na kávu podle zadání. Nápověda: Potřebných stavů je méně než 8. Úkol č. 2 (0,2 bodu) Kolik klopných obvodů by bylo potřeba v případě one-hot enkodování pro realizaci tohoto stavového automatu z úkolu č. 1? Odpověď: Kolik stavů bude nutné ošetřit v případě one-hot enkodování, aby vznikl bezpečný stavový automat? Odpověď:

7 Úkol č. 3 (3x0,6 bodů=1,8bodů) Ve vývojovém prostředí Xilinx ISE založte nový projekt. Vytvořte VHDL popis nápojového automatu. Použijete děličku frekvence z předcházejících cvičení a upravte ji tak, aby byl základní hodinový kmitočet pro všechny bloky 10 Hz. Pro VHDL popis zvolte strukturální styl. Implementujte výsledný návrh do paměti PROM. Výslednou funkci otestujte na vývojové desce. Použité komponenty: 1) Divider dělička kmitočtu na 10 Hz 2) Stavový automat řídicí logika 3) Debouncer ošetření zákmitů a generování jednoho výstupního pulzu Blokové schéma bude vypadat následovně: TOP j debouncer d debouncer kava_out napoj rst _100Hz divider _10Hz nápojový automat 7 j_out seg rst Bonusový úkol č. 4 (0,6 bodu) Ve vývojovém prostředí Xilinx ISE založte nový projekt. Upravte VHDL popis nápojového automatu tak, aby plnil tyto dodatečné funkce: 1) V případě vhození částky 3 Kč se vydává káva. Vydání kávy a suma vhozených mincí bude svítit na 7-segmentovém displeji po dobu 2 sekund. 2) V případě vhození částky 4 Kč se vydává káva a jednokorunová mince. Vydání jednokorunové mince, kávy a hodnota vhozených mincí bude svítit na 7-segmentovém displeji po dobu 4 sekund.

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 5. Student. Řešení komplexního úkolu kombinační logikou Chemická nádrž

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky. Úloha č. 5. Student. Řešení komplexního úkolu kombinační logikou Chemická nádrž Předmět Ústav Úloha č. 5 BDIO - Digitální obvody Ústav mikroelektroniky Řešení komplexního úkolu kombinační logikou Chemická nádrž Student Cíle Vyřešení slovného zadání úkolu. Karnaughovy mapy, minimalizace

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

2.8 Kodéry a Rekodéry

2.8 Kodéry a Rekodéry 2.8 Kodéry a Rekodéry 2.8.1 Úkol měření 1. Navrhněte a realizujte rekodér z kódu BCD na kód 2421 a ověřte jeho funkčnost 2. Navrhněte a realizujte rekodér z kódu 2421 na kód BCD a ověřte jeho funkčnost

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

Logické řízení s logickým modulem LOGO!

Logické řízení s logickým modulem LOGO! Logické řízení s logickým modulem LOGO! Cíl: Seznámit se s programováním jednoduchého programovatelného automatu (logického modulu) LOGO! a vyzkoušet jeho funkčnost na konkrétních zapojeních. Úkol: 1)

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Manuál přípravku FPGA University Board (FUB)

Manuál přípravku FPGA University Board (FUB) Manuál přípravku FPGA University Board (FUB) Rozmístění prvků na přípravku Obr. 1: Rozmístění prvků na přípravku Na obrázku (Obr. 1) je osazený přípravek s FPGA obvodem Altera Cyclone III EP3C5E144C8 a

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Přídavný modul modulárního vývojového systému MVS

Přídavný modul modulárního vývojového systému MVS Modul 8 LED diod a 8 tlačítek v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Použití programovatelného čítače 8253

Použití programovatelného čítače 8253 Použití programovatelného čítače 8253 Zadání 1) Připojte obvod programovatelný čítač- časovač 8253 k mikropočítači 89C52. Pro čtení bude obvod mapován do prostoru vnější programové (CODE) i datové (XDATA)

Více

STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109. Miroslav Hůrka MECHATRONIKA

STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109. Miroslav Hůrka MECHATRONIKA STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109 Miroslav Hůrka MECHATRONIKA SOUBOR PŘÍPRAV PRO 3. R. OBORU 26-41-M/01 ELEKTRO- TECHNIKA - MECHATRONIKA

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

Hardwarová realizace konečných automatů

Hardwarová realizace konečných automatů BI-AAG - Automaty a gramatiky Katedra teoretické informatiky ČVUT FIT 11.1.21 Co potřebujeme Úvod Potřebujeme: zakódovat vstupní abecedu, zakódovat stavy automatu, pamatovat si současný stav, realizovat

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Cvičení 2. Obsah a cíle cvičení. Obsah. A5MPL Programování mikropočítačů Digitální vstupy a výstupy - LED a tlačítka.

Cvičení 2. Obsah a cíle cvičení. Obsah. A5MPL Programování mikropočítačů Digitální vstupy a výstupy - LED a tlačítka. Cvičení 2 Digitální vstupy a výstupy - LED a tlačítka Obsah a cíle cvičení Toto cvičení: 1. Vysvětlí, co jsou digitální vstupy a výstupy mikropočítače. 2. Vysvětlí, jak k mikropočítači připojit LED a tlačítka

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů

Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Testování a spolehlivost ZS 2011/2012 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Příprava studijního programu

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Základy logického řízení

Základy logického řízení Základy logického řízení 11/2007 Ing. Jan Vaňuš, doc.ing.václav Vrána,CSc. Úvod Řízení = cílené působení řídicího systému na řízený objekt je členěno na automatické a ruční. Automatickéřízení je děleno

Více

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Organizace předmětu, podmínky pro získání klasifikovaného zápočtu Kurz A0B38FPGA Aplikace

Více

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO 1 4. Generátory obdélníkového signálu a MKO 1 Zadání 1. Sestavte generátor s derivačními články a hradly NAND s uvedenými hodnotami rezistorů a kapacitorů. Zobrazte časové průběhy v důležitých uzlech.

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu.

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu. 1 Digitální zdroje Cílem cvičení je osvojení práce s digitálními zdroji signálu. Cíle cvičení Převod digitálních úrovní na analogový signál Digitální zdroj signálu a BCD dekodér Čítač impulsů Dělička frekvence

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Studijní text pro 3. a 4. ročníky technických oborů Programování řídících systémů v reálném čase Verze: 1.11

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Střídací tabule na fotbal

Střídací tabule na fotbal Středoškolská technika 2014 Setkání a prezentace prací středoškolských studentů na ČVUT Střídací tabule na fotbal Jan Šlapák VOŠ a SŠSE Novovysočanská 48/280 Praha 9 1 Úvod Na fotbale se střídací tabule

Více

9. Rozšiřující desky Evb_Display a Evb_keyboard

9. Rozšiřující desky Evb_Display a Evb_keyboard 9. Rozšiřující desky Evb_Display a Evb_keyboard Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Zobrazovacích displejích Principu činnosti a programování čtyřřádkového

Více

4.10 Ovládač klávesnice 07 TC 91 Ovládání 32 přepínačů/kláves a 32 LED

4.10 Ovládač klávesnice 07 TC 91 Ovládání 32 přepínačů/kláves a 32 LED .0 Ovládač klávesnice Ovládání 3 přepínačů/kláves a 3 LED 3 Obr..0-: Ovládač klávesnice 5 Obsah Účel použití...0- Zobrazení a komponenty na desce tištěných spojů...0- Elektrické zapojení...0- Přiřazení

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 20 Klopný obvod

Více

CO JE STAVOVÝ AUTOMAT

CO JE STAVOVÝ AUTOMAT CO JE STAVOVÝ AUTOMAT Co je stavový automat Číslo DUM v digitálním archivu školy VY_32_INOVACE_10_02_01 Materiál seznamuje s tím, co je stavový automat. PRINCIP STAVOVÉHO AUTOMATU Princip stavového automatu

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

TECHNICKÝ POPIS MODULU GRAFIK =============================

TECHNICKÝ POPIS MODULU GRAFIK ============================= listů: 8 list : 1 TECHNICKÝ POPIS MODULU GRAFIK ============================= zpracoval: Nevoral schválil: Cajthaml ZPA, k.p. Nový Bor, listopad 1985 4-151-00342-4 list: 1 list: 2 1. VŠEOBECNĚ Obvody realizované

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Název projektu: EU peníze školám. Základní škola, Hradec Králové, M. Horákové 258

Název projektu: EU peníze školám. Základní škola, Hradec Králové, M. Horákové 258 Název projektu: EU peníze školám Registrační číslo projektu: CZ.1.07/1.4.00/21.2575 Základní škola, Hradec Králové, M. Horákové 258 Téma: Elektronika Název: VY_32_INOVACE_04_02B_24.Stavebnice - Logické

Více

SPARTAN - 3 Xilinx FPGA Device

SPARTAN - 3 Xilinx FPGA Device SPARTAN - 3 Xilinx FPGA Device 1. Úvod: 1.2V řada SPARTAN-3 navazuje na úspěch předchozí řady: SPARTAN-IIE. Od architektury SPARTAN-IIE se liší v počtu systémových hradel a logických buněk, velikosti RAM,

Více

mové techniky budov Osnova Základy logického Druhy signálů

mové techniky budov Osnova Základy logického Druhy signálů Základy Systémov mové techniky budov Základy logického řízení Ing. Jan Vaňuš N 716 tel.: 59 699 1509 email: jan.vanus vanus@vsb.czvsb.cz http://sweb sweb.cz/jan.vanus Druhy signálů, Osnova, základní dělení

Více

Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM

Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM Vysoké učení technické v Brně Fakulta informačních technologií ITP Technika personálních počítačů Služby ROM BIOS a BootROM Úloha č.: 5. Zadání: 1. Seznamte se s konstrukcí cvičné zásuvné adaptérové desky

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

Elektronika pro informační technologie (IEL)

Elektronika pro informační technologie (IEL) Elektronika pro informační technologie (IEL) Páté laboratorní cvičení Brno University of Technology, Faculty of Information Technology Božetěchova 1/2, 612 66 Brno - Královo Pole Petr Veigend, iveigend@fit.vutbr.cz

Více

Schmittův klopný obvod

Schmittův klopný obvod Schmittův klopný obvod Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 Malina, V.: Digitální technika, KOOP, České Budějovice 1996 http://pcbheaven.com/wikipages/the_schmitt_trigger

Více

k DUM 20. pdf ze šablony 1_šablona_automatizační_technika_I 01 tematický okruh sady: logické obvody

k DUM 20. pdf ze šablony 1_šablona_automatizační_technika_I 01 tematický okruh sady: logické obvody METODICKÝ LIST k DUM 20. pdf ze šablony 1_šablona_automatizační_technika_I 01 tematický okruh sady: logické obvody Téma DUM: sekvenční logický obvod test Anotace: Digitální učební materiál DUM - slouží

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Kombinační automaty (logické obvody)

Kombinační automaty (logické obvody) Kombinační automaty (logické obvody) o Název: VY_32_INOVACE_01_CIT_01_Prehled_schematickych_znacek.pptx o Téma: Přehled schématických značek o Název: VY_32_INOVACE_01_CIT_02_Prehled_schematickych_znacek_test.pptx

Více

MART1600: UNIVERZÁLNÍ MODUL PRO ZÁZNAM A REPRODUKCI ZVUKOVÝCH HLÁŠENÍ S VYUŽITÍM OBVODU ŘADY ISD1600B

MART1600: UNIVERZÁLNÍ MODUL PRO ZÁZNAM A REPRODUKCI ZVUKOVÝCH HLÁŠENÍ S VYUŽITÍM OBVODU ŘADY ISD1600B MART1600: UNIVERZÁLNÍ MODUL PRO ZÁZNAM A REPRODUKCI ZVUKOVÝCH HLÁŠENÍ S VYUŽITÍM OBVODU ŘADY ISD1600B Verze 1.0 cz 1. Konstrukce modulu MART1600 je modul sloužící pro záznam a reprodukci jednoho zvukového

Více

Návrh konstrukce odchovny 2. dil

Návrh konstrukce odchovny 2. dil 1 Portál pre odborné publikovanie ISSN 1338-0087 Návrh konstrukce odchovny 2. dil Pikner Michal Elektrotechnika 19.01.2011 V minulem dile jsme si popsali návrh konstrukce odchovny. senzamili jsme se s

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MDERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod - generátor parity bor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří Šima

Více

2-LC: ČÍSLICOVÉ OBVODY

2-LC: ČÍSLICOVÉ OBVODY 2-LC: ČÍSLICOVÉ OBVODY Cíl měření: Ověření základních vlastností číslicových integrovaných obvodů. 1) čítač (asynchronní, synchronní) 2) multiplexer a demultiplexer 3) mikroprocesor ( S 2441, str. 155)

Více

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic.

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic. Základní principy konstrukce systémové sběrnice - shrnutí Shrnout základní principy konstrukce a fungování systémových sběrnic. 1 Co je to systémová sběrnice? Systémová sběrnice je prostředek sloužící

Více

Číslicový zobrazovač CZ 5.7

Číslicový zobrazovač CZ 5.7 Určení - Číslicový zobrazovač CZ 5.7 pro zobrazování libovolné veličiny, kterou lze převést na elektrický signál, přednostně 4 až 20 ma. Zobrazovaná veličina může být až čtyřmístná, s libovolnou polohou

Více

Střední průmyslová škola elektrotechnická a informačních technologií Brno

Střední průmyslová škola elektrotechnická a informačních technologií Brno Střední průmyslová škola elektrotechnická a informačních technologií Brno Číslo a název projektu: CZ.1.7/1.5./34.521 Investice do vzdělání nesou nejvyšší úrok Autor: Ing. Bohumír Jánoš Tématická sada:

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 16 Sekvenční logické

Více

do předmětu Programovatelné automaty

do předmětu Programovatelné automaty Úloha č. 4 do předmětu Programovatelné automaty Řízení vjezdu na parkoviště 26. 3. 2008 Vypracovali: Ladislav Podivín a Daniel Piši 1 1 Zadání Laboratorní úloha pro imatic a model vjezdu na parkoviště

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Harmonický ustálený stav pokyny k měření Laboratorní cvičení č. 1

Harmonický ustálený stav pokyny k měření Laboratorní cvičení č. 1 Harmonický ustálený stav pokyny k měření Laboratorní cvičení č. Zadání. Naučte se pracovat s generátorem signálů Agilent 3320A, osciloskopem Keysight a střídavým voltmetrem Agilent 34405A. 2. Zobrazte

Více