Základní metody číslicového zpracování signálu část I.

Rozměr: px
Začít zobrazení ze stránky:

Download "Základní metody číslicového zpracování signálu část I."

Transkript

1 A4M38AVS Aplikace vestavěných systémů Základní metody číslicového zpracování signálu část I. Radek Sedláček, katedra měření, ČVUT v Praze FEL, 2015

2 Obsah přednášky Úvod, motivace do problematiky číslicového zpracování signálu či obrazu (DSP) Základní definice a pojmy okolo DSP Digitalizace signálu vzorkování, kvantování typy AD převodníků Číslicové filtry (FIR, IIR) Přenos a struktura Problém kvantování koeficientů

3 Úvod, motivace Proč využívat metody číslicového zpracování signálu či obrazu???

4 Úvod, motivace příklady embedded systémů okolo nás Spotřební elektronika

5 Úvod, motivace příklady embedded systémů okolo nás Měřicí technika osciloskop 32GHz Lékařská ultrasonografie, RADAR Počítačová tomografie

6 Úvod, motivace příklady embedded systémů okolo nás Router ADSL modem Průmyslové PC PLC

7 Úvod, motivace Všechny tyto embedded systémy mají něco společného Využívají metody číslicového zpracování signálu či obrazu!!!

8 Jak lze vestavný systém chápat? Typicky jednoúčelový systém, který obsahuje řídicí jednotku (procesor, mikrořadič, DSP, atd. ), a je zabudovaný do zařízení, jenž má řídit. Ve srovnání s univerzálním řešením výrazně cenově výhodnější Firmware (program procesoru) uložen v paměti typu ROM či FLASH Může být vybaven uživatelským rozhraním Může využívat OS (i RTOS) Může být vybaven komunikačním rozhraním Může využívat metody číslicového zpracování signálu

9 Když se řekne DSP Zkratka z angl. Digital Signal Processing (nebo Digital Signal Processor) číslicové zpracování signálu Před vlastním použitím DSP algoritmů nutno provést digitalizaci analogového signálu pomocí vhodného A/D převodníku, zpětná rekonstrukce se provádí D/A převodníkem DSP algoritmus (metoda) = operace s navzorkovaným signálem (obecně DATY), může to být např. převzorkování (změna vzorkovacího kmitočtu), filtrace matematické zpracování, výpočet různých parametrů, různé transformace komprese a zpětná dekomprese dat Uspořádání jednoduchého vestavěného číslicového systému na bázi DSP Analogový signál A/D převodník DSP D/A převodník Analogový signál

10 Typické příklady použití DSP v praxi Telekomunikace Komerce Průmysl Armáda Vesmír Výzkum,věda Medicína Přenos hlasu, datová komprese, kódování dat, zabezpečení, potlačení echa, filtrace, modulace, přepínání hlasových kanálů Zpracování obrazu a zvuku, komprese dat, speciální obrazové efekty, kamery, fotoaparáty, LCD TV, spotřební elektronika, mobilní telefony, PDA, MDA Automatizace (monitoring a řízení procesů), nedestruktivní testování (vířivé proudy, ultrazvuková defektoskopie), aplikace v automobilovém průmyslu RADAR, SONAR, zabezpečená komunikace, raketové systémy Zpracování obrazové informace např. z dalekohledů, TV, komprimace dat, analýza dat z inteligentních dálkově řízených senzorů Monitoring zemětřesení & analýza a sběr dat, simulace a modelování, spektrální analýza (FFT) Zobrazovací diagnostické metody (CT, MR, ultrazvuk), analýza elektrokardiogramů,

11 Příklad: mobilní telefon principiální obvodové řešení

12 Příklad : mobilní telefon blokové schéma (dílčí bloky)

13 Z transformace Při číslicové zpracování signálu se pracuje s diskrétním vzorky dat často je potřeba použít při popisu diskrétních systémů či signálů matematický aparát, tzv. Z transformaci

14 Základní teoretické pojmy, definice, vztahy, vysvětlení Jednotkový skok Jednotkový (Diracův) impulz

15 Základní teoretické pojmy, definice, vztahy, vysvětlení Impulzní charakteristika odezva systému na jednotkový impuls, Laplaceův obraz impulsní charakteristiky odpovídá přenosu! Přechodová charakteristika odezva systému na jednotkový skok Vzorkování realizováno pomocí vzorkovacího obvodu při vzorkování signálu je nutné splnit tzv. vzorkovací teorém s tím souvisí použití antialiasing filtru

16 Základní teoretické pojmy, definice, vztahy, vysvětlení Vzorkovací obvod (SAMPLE and HOLD zesilovač)

17 Základní teoretické pojmy, definice, vztahy, vysvětlení Anti aliasing filter slouží pro omezení frekvenčního pásma před vzorkováním signálu, splnění vzorkovacího teorému zamezí překrývání spektra vstupního signálu používá filter typu dolní propust Vzorkovací (Shannonův Kotělnikův) teorém Zpětná a přesná rekonstrukce spojitého, frekvenčně omezeného signálu z jeho diskrétních hodnot možná pouze tehdy, je li vzorkovací kmitočet alespoň 2x vyšší než li maximální frekvence rekonstruovaného signálu.

18 Základní teoretické pojmy, definice, vztahy, vysvětlení Kvantování ke kvantování dochází v A/D převodníku v důsledku konečného rozlišení A/D převodníku A/D převodník slouží k digitalizaci vstupního analogového signálu (vzorkuje+kvantuje) výstupem je digitální číslo výstupní kód = (V IN / V REF ) x 2 N Digital Output (codes) (2 N ) ADC Transfer Function Analog Input (V) Full- Scale Vstupní napětí Výstupní kód(10-bit) V+ >= V REF 1023 (0x03FF)* Reference Voltage VREF V REF 1 LSB ½ V REF 1023 (0x03FF) 512 (0x0200) AIN ADC Digital Output ¼ V REF 256 (0x0100) 0 V 0 (0x0000) Ground- Referenced Input Signal * Output of ADC is saturated

19 Základní teoretické pojmy, definice, vztahy, vysvětlení Chyby převodníků diferenciální, integrální nelinearita Digital Code Output Straight Line Reference for Transfer Function -0.5 LSB +0.5 LSB ADC with Perfect DNL: All code widths are 1 LSB Digital Code Output ADC Skipped Code LSB /8 x VREF Analog Voltage Input /8 x VREF Analog Voltage Input

20 Základní teoretické pojmy, definice, vztahy, vysvětlení Příklad : Záznam zvukové stopy na CD ROM (formát audio CD) využívá se pulzně kódová modulace (PCM) vzorkovací frekvence 44,1 khz Příklad 4 bitové PCM

21 Základní teoretické pojmy, definice, vztahy, vysvětlení Frekvenční spektrum signálu nese informaci o rozložení jednotlivých spektrálních složek (frekvencí) v analyzovaném signálu spektrum získáme aplikací Fourierovy transformace Příklady signálů, jejich spektra: harmonický signál (sin) jedna frekvenční složka symetrický obdélník (střída 1:1) obsahuje pouze liché harmonické (útlum 1/n) trojúhelník obsahuje liché složky s alternující fází (0,180) Dirac zastoupeny všechny frekvenční složky konstantní amplituda

22 Základní teoretické pojmy, definice, vztahy, vysvětlení Nejběžnější typy A/D převodníků: s postupnou aproximací střední rychlé, rozlišení typicky bit.

23 Základní teoretické pojmy, definice, vztahy, vysvětlení integrační nejpřesnější, nejpomalejší, rozlišení bit., potlačují sériové rušení na kmitočtu, jehož převrácená doba periody odpovídá n násobku doby integrace (typicky 20 ms ~ 50 Hz)

24 Základní teoretické pojmy, definice, vztahy, vysvětlení paralelní nejrychlejší, rozlišení cca do 8 10 bit. dáno počtem komparátorů

25 Základní teoretické pojmy, definice, vztahy, vysvětlení převodník pracuje na principu vyrovnání náboje

26 Obsah přednášky Úvod, motivace do problematiky číslicového zpracování signálu či obrazu (DSP) Základní definice a pojmy okolo DSP Digitalizace signálu vzorkování, kvantování typy AD převodníků Číslicové filtry (FIR, IIR)

27 Co je to filtrace 1 D, 2 D signálů? odstranění jedné nebo více frekvenčních částí (složek) ze spektra zpracovávaného signálu (např. odstranění rušivých nežádoucích složek) z teorie zpracování signálů známe, že je potřeba důsledně rozlišovat: spojité signály a systémy (soustavy) popis pomocí Laplaceovy transformace výpočet spektra pomocí Fourierovy transformace (FT) diskrétní signály a systémy (soustavy) popis pomocí Z transformace výpočet spektra pomocí diskrétní Fourierovy transformace (DFT)

28 Souvislost mezi filtrací a konvolucí Spojité soustavy Příklad : vstupní signál X(j obsahující dvě složky f R, f S a filtr s přenosem H(j typ dolní propust s mezním kmitočtem f 0 x(t) X H y(t) Y Konvoluce v časové oblasti odpovídá prostému násobení ve frekvenční oblasti.

29 Souvislost mezi filtrací a konvolucí Diskrétní soustavy Uvažujme stejný příklad jako v předchozím případě analogické jako pro spojité signály, jen místo FT se používá Z transformace diskrétní konvoluce

30 Souvislost mezi filtrací a konvolucí Nechť H(z) má přenos b i jsou přímo koeficienty filtru (FIR) Pak v časové oblasti platí: x(n) až x(n N) jsou vzorky signálu

31 Definice číslicových filtrů Z hlediska teorie systémů : ČF = LTI (Linear Time Invariable system) ČF velice významná oblasti v DSP ve srovnání s analogovými filtry vykazují vynikající vlastnosti lze prakticky realizovat libovolný přenos fitru. Slouží pro separaci nebo pro rekonstrukci signálů. Základní stavební prvky : zpožďovací člen (paměť) sčítačka násobička Z -1

32 Obecný přenos filtru Každý ČF lze popsat např. přenosovou funkcí, vyjádřenou v z transformaci (pomocí diferenční rovnice) Obecný přenos filtru vyjádřený z transformaci Jsou li koeficienty a 1, a 2, a M nulové, pak se jedná o FIR filtr Jsou li koeficienty a 1, a 2, a M různé od nuly, pak se jedná o IIR filtr Obecně polynom A(z) definuje zpětnou vazbu mezi výstupem a vstupem z toho důvodu IIR nemusí být vždy stabilní.

33 Základní rozdělení číslicových filtrů Finite Impulze Response (FIR) konečná impulsní odezva vždy stabilní dáno n násobným polem v nule (n tý řád filtru) pro dosažení veliké strmosti nutno zvolit vysoký řád filtru mají lineární fázi nevýhodou je nárůst zpoždění pro velké řády Infinite Impulze Responce (IIR) nekonečná impulzní odezva nemusí být vždy stabilní analogie k analogovým filtrům požadovanou strmost lze dosáhnout při nižším řádu než li u FIR nelineární fáze Z hlediska struktury (zpětně vazby) : rekurzivní, nerekurzivní

34 Struktura číslicových filtrů typu FIR Přímá forma (DIRECT FORM I) Transverzální forma (DIRECT FORM II TRANSPOSED) stejná charakteristika jiná struktura x(n) b 0 b 1 b 2 Z -1 Z -1 y(n)

35 Struktura číslicových filtrů IIR Přímá forma (DIRECT FORM I)

36 Struktura číslicových filtrů IIR Forma DIRECT FORM II Tato realizace využívá minimální počet zpožďovacích členů.

37 Kaskádní (sériové ) řazení filtrů Výsledný přenos

38 Paralelní řazení filtrů x(n) Z -1 Z -1 b 0 b 1 b 2 y(n) H 0 Výsledný přenos Z -1 Z -1 b 0 b 1 b 2 H 1

39 Problém s kvantováním koeficientů pracujeme li s konečným rozlišením čísel, nutně tento problém musí nastat např. v MATLABu koeficienty filtrů spočteny s daleko větší přesností než je reálná implementace na DSP či FPGA dochází tedy ke kvantování těchto koeficientů. s tím souvisí změna frekvenční charakteristiky filtru nutno kontrolovat může vybočit mimo rámec zadání, v případě IIR filtrů může být nestabilní!

40 Návrhové prostředky v prostředí MATLAB Nejdostupnější a nejrychlejší způsob využití prostředí MATLAB. Definováno mnoho funkcí pro návrh FIR i IIR filtrů (v základní toolboxu): FIR1 : b=fir1(n,wn), B = FIR1(N,Wn,'high') FIR2 : B = FIR2(N,F,A) Butter : [B,A] = BUTTER(N,Wn), [B,A] = BUTTER(N,Wn,'low') Cheby1: [B,A] = CHEBY1(N,R,Wp), CHEBY1(N,R,Wp,'stop') Cheby2: [B,A] = CHEBY2(N,R,Wst), Ellip : [B,A] = ELLIP(N,Rp,Rs,Wp)

41 Návrhové prostředky v prostředí MATLAB Vykreslení frekvenční charakteristiky navrženého filtru funkce freqz : [H,W] = FREQZ(B,A,N) Pozn.: Je li řád filtru N, filter má N+1 koeficientů!!! Existuje grafický toolbox pro návrh ČF Filter Design and Analysis Tool (v prostředí MATLAB se spustí příkazem FDATOOL)

42 Nástroj FDATOOL grafické rozhraní toolboxu

43 Nástroj FDATOOL co umí? Intuitivní ovládání Design FIR, IIR (Butterworth, Chebysev I, II, Eliptický) Volitelné typy frekvenční charakteristiky DP, HP, PP, PZ Výběr fyzické realizace (přímá, transpovaná, atd.) Vykreslení průběhu frekvenční a fázové charakteristiky Zobrazení rozložení pólů a nul filtru v komplexní rovině Koeficienty navrženého filtru lze exportovat do textového souboru nutno definovat též formát dat (např. double float, unsigned 16 a další)

44 Vliv kvantování koeficientů na frekvenční charakteristiku Příklad : FIR, N=100, Fm =0,3*f s (f s = )

45 Detail frekvenční charakteristiky okolo f m Vlivem kvantování koeficientů dochází ke změnám ( posunu mezního kmitočtu i velikosti útlumu) proto je nutné návrh filtru ověřit i po kvantování koeficientů

46 Příklady digitálních filtrů Frekvenčně selektivní filtry: dolní propust (low pass) horní propust (high pass) pásmové propusť (band pass) pásmové zádrže (band stop)

47 Příklady digitálních filtrů Klouzavý průměr: FIR realizující průměrování 6.řádu Lze např. využít na potlačení rušení na frekvenci 2 /N

48 Příklady digitálních filtrů Diskrétní integrátor: Diskrétní derivátor: Příklady použití: detekce hran v obraze, výpočet rychlosti ze zrychlení

49 Srovnání analogových a číslicových filtrů = FIR (N=100)

50 Srovnání analogových a číslicových filtrů

51 Nejdůležitější operace pro DSP Násobení dvou operandů A, B Y=A * B Násobení dvou operandů s akumulací, případně s odečítáním Y = Y + A*B, Y = Y A* B Například: signálový procesor dokáže v jednom instrukčním taktu provést načtení obou operandů z datové a programové paměti, provést výpočet instrukce, výsledek uložit do registru, případně jej akumulovat k předchozímu výsledku. Tato vlastnost je dána zejména vnitřní architekturou procesoru, ta se vyznačuje oddělenou sběrnici pro data a program (je použita tzv. harwardská architektura). Pokud procesor hardwarově podporuje tyto dvě základní operace, lze jej s výhodou použít pro zpracování signálu. Poznámka: Procesory ST32Fxx tuhle operace podporují: MUL R10, R2, R5; multiply, R10 = R2 x R5 MLA R10, R2, R1, R5; multiply with accumulate, R10 = (R2 x R1) + R5 MULS R0, R2, R2; multiply with flag update, R0 = R2 x R2 MULLT R2, R3, R2; conditionally multiply, R2 = R3 x R2 MLS R4, R5, R6, R7; multiply with subtract, R4 = R7 (R5 x R6)

52 Aplikace digitálních filtrů filtrace rušivých signálů superponovaných na měronosný signál potlačení šumu omezení frekvenčního pásma separace různých frekvenčních složek signálu (viz. např. audio ekvalizéry, mixážní pulty, apod.) průměrování v čase mohou realizovat různé matematické operace: integrace, derivace či jiné transformace signálu

základní vlastnosti, používané struktury návrhové prostředky MATLAB problém kvantování koeficientů

základní vlastnosti, používané struktury návrhové prostředky MATLAB problém kvantování koeficientů A0M38SPP - Signálové procesory v praxi - přednáška 4 2 Číslicové filtry typu FIR a IIR definice operace filtrace základní rozdělení FIR, IIR základní vlastnosti, používané struktury filtrů návrhové prostředky

Více

Číslicová filtrace. FIR filtry IIR filtry. ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická

Číslicová filtrace. FIR filtry IIR filtry. ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Číslicová filtrace FIR filtry IIR filtry Tyto materiály vznikly za podpory Fondu rozvoje

Více

zpracování signálu a obrazu

zpracování signálu a obrazu A4M38AVS Aplikace vestavěných systémů Přednáška č. 6 Základní metody číslicového zpracování signálu a obrazu Radek Sedláček, katedra měření, ČVUT FEL, 2011 Obsah přednášky Úvod, motivace do problematiky

Více

Vzorkování. Je-li posloupnost diracových impulzů s periodou T S : Pak časová posloupnost diskrétních vzorků bude:

Vzorkování. Je-li posloupnost diracových impulzů s periodou T S : Pak časová posloupnost diskrétních vzorků bude: Vzorkování Vzorkování je převodem spojitého signálu na diskrétní. Lze si ho představit jako násobení sledu diracových impulzů (impulzů jednotkové plochy a nulové délky) časovým průběhem vzorkovaného signálu.

Více

SYNTÉZA AUDIO SIGNÁLŮ

SYNTÉZA AUDIO SIGNÁLŮ SYNTÉZA AUDIO SIGNÁLŮ R. Čmejla Fakulta elektrotechnická, ČVUT v Praze Abstrakt Příspěvek pojednává o technikách číslicové audio syntézy vyučovaných v předmětu Syntéza multimediálních signálů na Elektrotechnické

Více

MĚŘENÍ A ANALÝZA ELEKTROAKUSTICKÝCH SOUSTAV NA MODELECH. Petr Kopecký ČVUT, Fakulta elektrotechnická, Katedra Radioelektroniky

MĚŘENÍ A ANALÝZA ELEKTROAKUSTICKÝCH SOUSTAV NA MODELECH. Petr Kopecký ČVUT, Fakulta elektrotechnická, Katedra Radioelektroniky MĚŘENÍ A ANALÝZA ELEKTROAKUSTICKÝCH SOUSTAV NA MODELECH Petr Kopecký ČVUT, Fakulta elektrotechnická, Katedra Radioelektroniky Při návrhu elektroakustických soustav, ale i jiných systémů, je vhodné nejprve

Více

SIGNÁLY A SOUSTAVY, SIGNÁLY A SYSTÉMY

SIGNÁLY A SOUSTAVY, SIGNÁLY A SYSTÉMY SIGNÁLY A SOUSTAVY, SIGNÁLY A SYSTÉMY TEMATICKÉ OKRUHY Signály se spojitým časem Základní signály se spojitým časem (základní spojité signály) Jednotkový skok σ (t), jednotkový impuls (Diracův impuls)

Více

Direct Digital Synthesis (DDS)

Direct Digital Synthesis (DDS) ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Direct Digital Synthesis (DDS) Přímá číslicová syntéza Tyto materiály vznikly za podpory

Více

Digitální telefonní signály

Digitální telefonní signály EVROPSKÝ SOCIÁLNÍ FOND Digitální telefonní signály PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI Podpora kvality výuky informačních a telekomunikačních technologií ITTEL CZ.2.17/3.1.00/36206 Digitální telefonní

Více

A7B31ZZS 10. PŘEDNÁŠKA Návrh filtrů 1. prosince 2014

A7B31ZZS 10. PŘEDNÁŠKA Návrh filtrů 1. prosince 2014 A7B3ZZS. PŘEDNÁŠKA Návrh filtrů. prosince 24 Návrhy jednoduchých filtrů Návrhy složitějších filtrů Porovnání FIR a IIR Nástroje pro návrh FIR filtrů v MATLABu Nástroje pro návrh IIR filtrů v MATLABu Kvantování

Více

2. Číslicová filtrace

2. Číslicová filtrace Żpracování signálů a obrazů 2. Číslicová filtrace.......... Petr Česák Zimní semestr 2002/2003 . 2. Číslicová filtrace FIR+IIR ZADÁNÍ Účelem cvičení je seznámit se s průběhem frekvenčních charakteristik

Více

Číslicové filtry. Honza Černocký, ÚPGM

Číslicové filtry. Honza Černocký, ÚPGM Číslicové filtry Honza Černocký, ÚPGM Aliasy Digitální filtry Diskrétní systémy Systémy s diskrétním časem atd. 2 Na co? Úprava signálů Zdůraznění Potlačení Detekce 3 Zdůraznění basy 4 Zdůraznění výšky

Více

Multimediální systémy

Multimediální systémy Multimediální systémy Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Získání obsahu Jan Outrata (Univerzita Palackého v Olomouci) Multimediální systémy Olomouc, září prosinec

Více

Lineární a adpativní zpracování dat. 3. Lineární filtrace I: Z-transformace, stabilita

Lineární a adpativní zpracování dat. 3. Lineární filtrace I: Z-transformace, stabilita Lineární a adpativní zpracování dat 3. Lineární filtrace I: Z-transformace, stabilita Daniel Schwarz Investice do rozvoje vzdělávání Osnova Opakování: signály, systémy, jejich vlastnosti a popis v časové

Více

Moderní digitální vícestopý záznam a produkce zvuku

Moderní digitální vícestopý záznam a produkce zvuku Moderní digitální vícestopý záznam a produkce zvuku Martin Dostál katedra informatiky, Univerzita Palackého v Olomouci http://dostal.inf.upol.cz 6.5. 2010 Analogový záznam vícestopý záznam Ross Snyder

Více

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY Jiří Šebesta Ústav radioelektroniky, Fakulta elektroniky a komunikačních technologií Vysoké učení technické v Brně

Více

Flexibilita jednoduché naprogramování a přeprogramování řídícího systému

Flexibilita jednoduché naprogramování a přeprogramování řídícího systému Téma 40 Jiří Cigler Zadání Číslicové řízení. Digitalizace a tvarování. Diskrétní systémy a jejich vlastnosti. Řízení diskrétních systémů. Diskrétní popis spojité soustavy. Návrh emulací. Nelineární řízení.

Více

ZDROJE MĚŘÍCÍHO SIGNÁLU MĚŘÍCÍ GENERÁTORY

ZDROJE MĚŘÍCÍHO SIGNÁLU MĚŘÍCÍ GENERÁTORY INOVACE ODBORNÉHO VZDĚLÁVÁNÍ NA STŘEDNÍCH ŠKOLÁCH ZAMĚŘENÉ NA VYUŽÍVÁNÍ ENERGETICKÝCH ZDROJŮ PRO 21. STOLETÍ A NA JEJICH DOPAD NA ŽIVOTNÍ PROSTŘEDÍ CZ.1.07/1.1.00/08.0010 ZDROJE MĚŘÍCÍHO SIGNÁLU MĚŘÍCÍ

Více

VLASTNOSTI KOMPONENTŮ MĚŘICÍHO ŘETĚZCE - ANALOGOVÁČÁST

VLASTNOSTI KOMPONENTŮ MĚŘICÍHO ŘETĚZCE - ANALOGOVÁČÁST VLASTNOSTI KOMPONENTŮ MĚŘICÍHO ŘETĚZCE - ANALOGOVÁČÁST 5.1. Snímač 5.2. Obvody úpravy signálu 5.1. SNÍMAČ Napájecí zdroj snímač převod na el. napětí - úprava velikosti - filtr analogově číslicový převodník

Více

Nové metody stereofonního kódování pro FM pomocí digitální technologie. Pavel Straňák, Phobos Engineering s.r.o.

Nové metody stereofonního kódování pro FM pomocí digitální technologie. Pavel Straňák, Phobos Engineering s.r.o. Nové metody stereofonního kódování pro FM pomocí digitální technologie Pavel Straňák, Phobos Engineering s.r.o. Úvod Cílem této stati je popis modelu číslicového stereofonního kodéru s možností kompozitního

Více

Rekurentní filtry. Matlab

Rekurentní filtry. Matlab Rekurentní filtry IIR filtry filtry se zpětnou vazbou a nekonečnou impulsní odezvou Výstupní signál je závislý na vstupu a minulém výstupu. Existují různé konvence zápisu, pozor na to! Někde je záporná

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření A/D a D/A převodnp evodníky Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 A/D a D/A převodníky 1 Důvody převodu signálů

Více

OSCILOSKOPY. Základní vlastnosti osciloskopů

OSCILOSKOPY. Základní vlastnosti osciloskopů OSCILOSKOPY Základní vlastnosti osciloskopů režimy y t pozorování časových průběhů, měření v časové oblasti x y napětí přivedené k vertikálnímu vstupu je funkcí napětí přivedeného k horizontálnímu vstupu

Více

Multimediální systémy. 08 Zvuk

Multimediální systémy. 08 Zvuk Multimediální systémy 08 Zvuk Michal Kačmařík Institut geoinformatiky, VŠB-TUO Osnova přednášky Zvuk fyzikální podstata a vlastnosti Digitální zvuk Komprese, kodeky, formáty Zvuk v MMS Přítomnost zvuku

Více

Osciloskopická měření

Osciloskopická měření Lubomír Slavík TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Materiál vznikl v rámci projektu ESF (CZ.1.07/2.2.00/07.0247), který je spolufinancován Evropským

Více

Vlastnosti IIR filtrů:

Vlastnosti IIR filtrů: IIR filtry Vlastnosti IIR filtrů: Výhody: jsou výrazně nižšího řádu než Fir filtry se stejnými vlastnostmi a z toho vyplývá že mají: Nevýhody: nižší výpočetní složitost v porovnání s Fir filtrem kratší

Více

Spektrální analyzátory

Spektrální analyzátory Lubomír Slavík TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Materiál vznikl v rámci projektu ESF (CZ.1.07/2.2.00/07.0247), který je spolufinancován Evropským

Více

9. Číslicové osciloskopy. 10. Metodika práce s osciloskopem

9. Číslicové osciloskopy. 10. Metodika práce s osciloskopem 9. Číslicové osciloskopy Hybridní osciloskop (kombiskop) blokové schéma, princip funkce Číslicový osciloskop (DSO) blokové schéma, princip funkce Vzorkování a rekonstrukce signálu Aliasing, možnost nesprávné

Více

návrh, simulace a implementace

návrh, simulace a implementace Konstrukce Telekomunikačních Zařízení Projekt 1 návrh, simulace a implementace analogových filtrů Ondřej Zub (ozub81@seznam.cz) 2. dubna 2005 Cílem projektu je seznámit se prakticky s programovatelnými

Více

polyfázové filtry (multirate filters) cascaded integrator comb filter (CIC) A0M38SPP - Signálové procesory v praxi - přednáška 8 2

polyfázové filtry (multirate filters) cascaded integrator comb filter (CIC) A0M38SPP - Signálové procesory v praxi - přednáška 8 2 A0M38SPP - Signálové procesory v praxi - přednáška 8 2 Decimace snížení vzorkovací frekvence Interpolace zvýšení vzorkovací frekvence Obecné převzorkování signálu faktorem I/D Efektivní způsoby implementace

Více

31SCS Speciální číslicové systémy Antialiasing

31SCS Speciální číslicové systémy Antialiasing ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE 2006/2007 31SCS Speciální číslicové systémy Antialiasing Vypracoval: Ivo Vágner Email: Vagnei1@seznam.cz 1/7 Převod analogového signálu na digitální Složité operace,

Více

Parametrické přístupy k filtraci ultrazvukových signálů

Parametrické přístupy k filtraci ultrazvukových signálů České vysoké učení technické v Praze Fakulta elektrotechnická Katedra měření Parametrické přístupy k filtraci ultrazvukových signálů Bakalářská práce Luboš Kocourek 2010 Studijní program: Elektrotechnika

Více

Lineární a adaptivní zpracování dat. 1. ÚVOD: SIGNÁLY a SYSTÉMY

Lineární a adaptivní zpracování dat. 1. ÚVOD: SIGNÁLY a SYSTÉMY Lineární a adaptivní zpracování dat 1. ÚVOD: SIGNÁLY a SYSTÉMY Daniel Schwarz Investice do rozvoje vzdělávání Osnova Úvodní informace o předmětu Signály, časové řady klasifikace, příklady, vlastnosti Vzorkovací

Více

Úvod do zpracování signálů

Úvod do zpracování signálů 1 / 25 Úvod do zpracování signálů Karel Horák Rozvrh přednášky: 1. Spojitý a diskrétní signál. 2. Spektrum signálu. 3. Vzorkovací věta. 4. Konvoluce signálů. 5. Korelace signálů. 2 / 25 Úvod do zpracování

Více

Dodatky k FT: 1. (2D digitalizace) 2. Více o FT 3. Více k užití filtrů. 7. přednáška předmětu Zpracování obrazů

Dodatky k FT: 1. (2D digitalizace) 2. Více o FT 3. Více k užití filtrů. 7. přednáška předmětu Zpracování obrazů Dodatky k FT:. (D digitalizace. Více o FT 3. Více k užití filtrů 7. přednáška předmětu Zpracování obrazů Martina Mudrová 4 Pořízení digitálního obrazu Obvykle: Proces transformace spojité předlohy (reality

Více

ednáška a telefonní modemy Ing. Bc. Ivan Pravda

ednáška a telefonní modemy Ing. Bc. Ivan Pravda 11.předn ednáška Telefonní přístroje, modulační metody a telefonní modemy Ing. Bc. Ivan Pravda Telefonní přístroj princip funkce - klasická analogová telefonní přípojka (POTS Plain Old Telephone Service)

Více

DIGITÁLNÍ KOMUNIKACE S OPTICKÝMI VLÁKNY. Digitální signál bude rekonstruován přijímačem a přiváděn do audio zesilovače.

DIGITÁLNÍ KOMUNIKACE S OPTICKÝMI VLÁKNY. Digitální signál bude rekonstruován přijímačem a přiváděn do audio zesilovače. DIGITÁLNÍ KOMUNIKACE S OPTICKÝMI VLÁKNY 104-4R Pomocí stavebnice Optel sestavte optický systém, který umožní přenos zvuku. Systém bude vysílat audio informaci prostřednictvím optického kabelu jako sekvenci

Více

Lineární a adaptivní zpracování dat. 3. SYSTÉMY a jejich popis ve frekvenční oblasti

Lineární a adaptivní zpracování dat. 3. SYSTÉMY a jejich popis ve frekvenční oblasti Lineární a adaptivní zpracování dat 3. SYSTÉMY a jejich popis ve frekvenční oblasti Daniel Schwarz Osnova Opakování: systémy a jejich popis v časové oblasti Fourierovy řady Frekvenční charakteristika systémů

Více

MATLAB. F. Rund, A. Novák Katedra radioelektroniky, FEL ČVUT v Praze. Abstrakt

MATLAB. F. Rund, A. Novák Katedra radioelektroniky, FEL ČVUT v Praze. Abstrakt PROBLÉM ŠPATNÉ SYNCHRONIZACE VZORKOVACÍCH KMITOČTŮ U MLS SIGNÁLŮ: MODEL V PROSTŘEDÍ MATLAB F. Rund, A. Novák Katedra radioelektroniky, FEL ČVUT v Praze Abstrakt Chceme-li hodnotit kvalitativní stránku

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Softwarové rádio. Zpracoval: Ing. Jiří Sehnal

Softwarové rádio. Zpracoval: Ing. Jiří Sehnal Softwarové rádio Zpracoval: Ing. Jiří Sehnal 1 Softwarové rádio je perspektivní koncepcí rádiových vysílačů a přijímačů s předpokladem potlačení analogových prvků na minimum. Jediným analogovým prvkem

Více

P7: Základy zpracování signálu

P7: Základy zpracování signálu P7: Základy zpracování signálu Úvodem - Signál (lat. signum) bychom mohli definovat jako záměrný fyzikální jev, nesoucí informaci o nějaké události. - Signálem je rovněž funkce, která převádí nezávislou

Více

Biosignál přehled, snímání, zpracování, hodnocení

Biosignál přehled, snímání, zpracování, hodnocení Biosignál přehled, snímání, zpracování, hodnocení Úvod do biomedicínského inženýrství 27. 9. 2011 MICHAL HUPTYCH Katedra kybernetiky, FEL, ČVUT Přehled přednášky Přehled biologických signálů Snímání biologických

Více

Lineární a adaptivní zpracování dat. 2. SYSTÉMY a jejich popis v časové doméně a frekvenční doméně

Lineární a adaptivní zpracování dat. 2. SYSTÉMY a jejich popis v časové doméně a frekvenční doméně Lineární a adaptivní zpracování dat 2. SYSTÉMY a jejich popis v časové doméně a frekvenční doméně Daniel Schwarz Investice do rozvoje vzdělávání Osnova Opakování: signály a systémy Vlastnosti systémů Systémy

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

KTE/TEVS - Rychlá Fourierova transformace. Pavel Karban. Katedra teoretické elektrotechniky Fakulta elektrotechnická Západočeská univerzita v Plzni

KTE/TEVS - Rychlá Fourierova transformace. Pavel Karban. Katedra teoretické elektrotechniky Fakulta elektrotechnická Západočeská univerzita v Plzni KTE/TEVS - Rychlá Fourierova transformace Pavel Karban Katedra teoretické elektrotechniky Fakulta elektrotechnická Západočeská univerzita v Plzni 10.11.011 Outline 1 Motivace FT Fourierova transformace

Více

ÚPGM FIT VUT Brno,

ÚPGM FIT VUT Brno, Systémy s diskrétním časem Jan Černocký ÚPGM FIT VUT Brno, cernocky@fit.vutbr.cz 1 LTI systémy v tomto kursu budeme pracovat pouze se systémy lineárními a časově invariantními. Úvod k nim jsme viděli již

Více

Základní metody číslicového zpracování signálu a obrazu část II.

Základní metody číslicového zpracování signálu a obrazu část II. A4M38AVS Aplikace vestavěných systémů Přednáška č. 8 Základní metody číslicového zpracování signálu a obrazu část II. Radek Sedláček, katedra měření, ČVUT FEL, 2015 Obsah přednášky Převzorkování decimace,

Více

Pracovní třídy zesilovačů

Pracovní třídy zesilovačů Pracovní třídy zesilovačů Tzv. pracovní třída zesilovače je určená polohou pracovního bodu P na převodní charakteristice dobou, po kterou zesilovacím prvkem protéká proud, vzhledem ke vstupnímu zesilovanému

Více

2. Určete komplexní impedanci dvojpólu, jeli dáno: S = 900 VA, P = 720 W a I = 20 A, z jakých prvků lze dvojpól sestavit?

2. Určete komplexní impedanci dvojpólu, jeli dáno: S = 900 VA, P = 720 W a I = 20 A, z jakých prvků lze dvojpól sestavit? Otázky a okruhy problematiky pro přípravu na státní závěrečnou zkoušku z oboru EAT v bakalářských programech strukturovaného studia na FEL ZČU v ak. r. 2013/14 Soubor obsahuje tématické okruhy, otázky

Více

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007 Měřič krevního tlaku Ing. Martin Švrček martin.svrcek@phd.feec.vutbr.cz Ústav biomedicínckého inženýrství Fakulta elektrotechniky a komunikačních technologií VUT v Brně Kolejní 4, 61200 Brno Tento článek

Více

Aplikovaná informatika

Aplikovaná informatika Vysoká škola polytechnická Jihlava Katedra elektrotechniky a informatiky Tematické okruhy pro státní závěrečné zkoušky oboru Aplikovaná informatika Tyto okruhy jsou platné pro studenty, kteří započali

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

A2B31SMS 11. PŘEDNÁŠKA 4. prosince 2014

A2B31SMS 11. PŘEDNÁŠKA 4. prosince 2014 A2B31SMS 11. PŘEDNÁŠKA 4. prosince 214 Číslicové audio efekty Hřebenové filtry Fázovací filtry Dozvuky Konvoluční reverb Schroederův algoritmus modelování dozvuku Číslicové audio efekty Filtrace - DP,

Více

Lineární a adaptivní zpracování dat. 2. SYSTÉMY a jejich popis v časové doméně a frekvenční doméně

Lineární a adaptivní zpracování dat. 2. SYSTÉMY a jejich popis v časové doméně a frekvenční doméně Lineární a adaptivní zpracování dat 2. SYSTÉMY a jejich popis v časové doméně a frekvenční doméně Daniel Schwarz Investice do rozvoje vzdělávání Osnova Opakování: signály a systémy Vlastnosti systémů Systémy

Více

VIBRODIAGNOSTICKÝ SOFTWARE

VIBRODIAGNOSTICKÝ SOFTWARE VIBRODIAGNOSTICKÝ SOFTWARE NADSTAVBA ZABEZPEČOVACÍHO SYSTÉMU MS6000 DIAGNOSTICKÝ DATAMONITOR ROZŠÍŘENÍ MONITOROVÁNÍ STAVU STROJŮ PREVENTIVNÍ DETEKCE ZÁVAD NÁSTROJ PREDIKTIVNÍ ÚDRŢBY MMS 6850 1/7 R 1/2010

Více

Simulace soustavy kytarový reprobox - snímací mikrofon

Simulace soustavy kytarový reprobox - snímací mikrofon ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Katedra radioelektroniky Simulace soustavy kytarový reprobox - snímací mikrofon Bakalářská práce Studijní program: Komunikace, multimédia a

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Laboratorní měření 1. Seznam použitých přístrojů. Popis měřicího přípravku

Laboratorní měření 1. Seznam použitých přístrojů. Popis měřicího přípravku Laboratorní měření 1 Seznam použitých přístrojů 1. Generátor funkcí 2. Analogový osciloskop 3. Měřící přípravek na RL ČVUT FEL, katedra Teorie obvodů Popis měřicího přípravku Přípravek umožňuje jednoduchá

Více

OCHRANA VOJENSKÝCH OBJEKTŮ PROTI ÚČINKŮM VÝKONOVÝCH ELEKTROMAGNETICKÝCH POLÍ, SIMULACE EMC FILTRŮ

OCHRANA VOJENSKÝCH OBJEKTŮ PROTI ÚČINKŮM VÝKONOVÝCH ELEKTROMAGNETICKÝCH POLÍ, SIMULACE EMC FILTRŮ OCHRANA VOJENSKÝCH OBJEKTŮ PROTI ÚČINKŮM VÝKONOVÝCH ELEKTROMAGNETICKÝCH POLÍ, SIMULACE EMC FILTRŮ Anotace: Ing. Zbyněk Plch VOP-026 Šternberk s.p., divize VTÚPV Vyškov Zkušebna elektrické bezpečnosti a

Více

ZKUŠENOSTI S VYUŽÍVÁNÍM A VYBRANÉ PŘÍKLADY APLIKACÍ TZV. "COLLEGE" LICENCE MATLABU NA ČVUT V PRAZE, MU V BRNĚ A ZČU V PLZNI. Ing.

ZKUŠENOSTI S VYUŽÍVÁNÍM A VYBRANÉ PŘÍKLADY APLIKACÍ TZV. COLLEGE LICENCE MATLABU NA ČVUT V PRAZE, MU V BRNĚ A ZČU V PLZNI. Ing. ZKUŠENOSTI S VYUŽÍVÁNÍM A VYBRANÉ PŘÍKLADY APLIKACÍ TZV. "COLLEGE" LICENCE MATLABU NA ČVUT V PRAZE, MU V BRNĚ A ZČU V PLZNI Abstrakt Ing. Jiří Hozman Katedra radioelektroniky (K337), FEL ČVUT v Praze V

Více

DIGITÁLNÍ FILTRACE V REÁLNÍM ČASE PRO ZPRACOVÁNÍ BIOMEDICÍNSKÝCH SIGNÁLŮ POMOCÍ MATLAB - XPC TARGET

DIGITÁLNÍ FILTRACE V REÁLNÍM ČASE PRO ZPRACOVÁNÍ BIOMEDICÍNSKÝCH SIGNÁLŮ POMOCÍ MATLAB - XPC TARGET DIGITÁLNÍ FILTRACE V REÁLNÍM ČASE PRO ZPRACOVÁNÍ BIOMEDICÍNSKÝCH SIGNÁLŮ POMOCÍ MATLAB - XPC TARGET Grobelný David, Martinák Lukáš, Nevřiva Pavel, Plešivčák Přemysl Department of measurement and control,

Více

Číslicové zpracování signálů a Fourierova analýza.

Číslicové zpracování signálů a Fourierova analýza. Číslicové zpracování signálů a Fourierova analýza www.kme.zcu.cz/kmet/exm 1 Obsah prezentace 1. Úvod a motivace 2. Data v časové a frekvenční oblasti 3. Fourierova analýza teoreticky 4. Fourierova analýza

Více

Měření kmitočtu a tvaru signálů pomocí osciloskopu

Měření kmitočtu a tvaru signálů pomocí osciloskopu Měření kmitočtu a tvaru signálů pomocí osciloskopu Osciloskop nebo také řidčeji oscilograf zobrazuje na stínítku obrazovky nebo LC displeji průběhy připojených elektrických signálů. Speciální konfigurace

Více

Generátor libovolných průběhů Agilent Technologies 33 220A

Generátor libovolných průběhů Agilent Technologies 33 220A kmitočtové pásmo DC až 20 MHz jeden kanál vzorkování AWG - 50MS/s vertikální rozlišení - 14 bit barevný displej délka slova AWG - 64 000 bodů rozhraní USB, LAN, GPIB software Intui Link Agilent Generátor

Více

9. PRINCIPY VÍCENÁSOBNÉHO VYUŽITÍ PŘENOSOVÝCH CEST

9. PRINCIPY VÍCENÁSOBNÉHO VYUŽITÍ PŘENOSOVÝCH CEST 9. PRINCIPY VÍCENÁSOBNÉHO VYUŽITÍ PŘENOSOVÝCH CEST Modulace tvoří základ bezdrátového přenosu informací na velkou vzdálenost. V minulosti se ji využívalo v telekomunikacích při vícenásobném využití přenosových

Více

7.1. Číslicové filtry IIR

7.1. Číslicové filtry IIR Kapitola 7. Návrh číslicových filtrů Hraniční kmitočty propustného a nepropustného pásma jsou ve většině případů specifikovány v[hz] společně se vzorkovacím kmitočtem číslicového filtru. Návrhové algoritmy

Více

Signál v čase a jeho spektrum

Signál v čase a jeho spektrum Signál v čase a jeho spektrum Signály v časovém průběhu (tak jak je vidíme na osciloskopu) můžeme dělit na periodické a neperiodické. V obou případech je lze popsat spektrálně určit jaké kmitočty v sobě

Více

NÁVRH LQG ŘÍZENÍ PRO FYZIKÁLNÍ MODEL KULIČKY NA TYČI

NÁVRH LQG ŘÍZENÍ PRO FYZIKÁLNÍ MODEL KULIČKY NA TYČI NÁVRH LQG ŘÍZENÍ PRO FYZIKÁLNÍ MODEL KULIČKY NA TYČI Petr Vojčinák, Martin Pieš, Radovan Hájovský Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky Katedra měřicí a

Více

Vysoká škola polytechnická Jihlava. Katedra elektrotechniky a informatiky. Tematické okruhy pro státní závěrečné zkoušky oboru. Počítačové systémy

Vysoká škola polytechnická Jihlava. Katedra elektrotechniky a informatiky. Tematické okruhy pro státní závěrečné zkoušky oboru. Počítačové systémy Vysoká škola polytechnická Jihlava Katedra elektrotechniky a informatiky Tematické okruhy pro státní závěrečné zkoušky oboru Počítačové systémy Tyto okruhy jsou platné pro studenty, kteří započali studium

Více

Analýza a zpracování signálů

Analýza a zpracování signálů Analýza a zpracování ů Digital Signal Processing disciplína, která nám umožňuje nahradit (v případě že nezpracováváme vf y) obvody, dříve složené z rezistorů a kapacitorů, dvěma antialiasingovými filtry,

Více

Obrázek č. 7.0 a/ regulační smyčka s regulátorem, ovladačem, regulovaným systémem a měřicím členem b/ zjednodušené schéma regulace

Obrázek č. 7.0 a/ regulační smyčka s regulátorem, ovladačem, regulovaným systémem a měřicím členem b/ zjednodušené schéma regulace Automatizace 4 Ing. Jiří Vlček Soubory At1 až At4 budou od příštího vydání (podzim 2008) součástí publikace Moderní elektronika. Slouží pro výuku předmětu automatizace na SPŠE. 7. Regulace Úkolem regulace

Více

Signálové a mezisystémové převodníky

Signálové a mezisystémové převodníky Signálové a mezisystémové převodníky Tyto převodníky slouží pro generování jednotného nebo unifikovaného signálu z přirozených signálů vznikajících v čidlech. Často jsou nazývány vysílači příslušné fyzikální

Více

Snímání biologických signálů. A6M31LET Lékařská technika Zdeněk Horčík Katedra teorie obvodů

Snímání biologických signálů. A6M31LET Lékařská technika Zdeněk Horčík Katedra teorie obvodů Snímání biologických signálů A6M31LET Lékařská technika Zdeněk Horčík Katedra teorie obvodů horcik@fel.cvut.cz Snímání biologických signálů problém: převést co nejvěrněji spojitý signál do číslicové podoby

Více

IMPLEMENTACE AUTOMATIZOVANÉHO MĚŘENÍ HRTF V MATLABU

IMPLEMENTACE AUTOMATIZOVANÉHO MĚŘENÍ HRTF V MATLABU IMPLEMENTACE AUTOMATIZOVANÉHO MĚŘENÍ HRTF V MATLABU O. Šupka, F. Rund, J. Bouše Katedra radioelektroniky, fakulta elektrotechnická České vysoké učení technické v Praze, Česká republika Abstrakt Tento příspěvek

Více

DOSTUPNÉ METODY MĚŘENÍ JÍZDNÍCH DYNAMICKÝCH PARAMETRŮ VOZIDEL

DOSTUPNÉ METODY MĚŘENÍ JÍZDNÍCH DYNAMICKÝCH PARAMETRŮ VOZIDEL DOSTUPNÉ METODY MĚŘENÍ JÍZDNÍCH DYNAMICKÝCH PARAMETRŮ VOZIDEL Abstrakt Albert Bradáč 1, Rostislav Hadaš 2 Krátké seznámení s možnostmi měření vybraných jízdních dynamických parametrů vozidel. Ukázka vyvíjených

Více

IMPLEMENTACE OBJEKTIVNÍHO MODELU HODNOCENÍ KVALITY ZVUKU PEMO-Q V PROSTŘEDÍ MATLAB SE ZAHRNUTÝM MODELEM SLUCHOVÉ CESTY A MODELEM CASP

IMPLEMENTACE OBJEKTIVNÍHO MODELU HODNOCENÍ KVALITY ZVUKU PEMO-Q V PROSTŘEDÍ MATLAB SE ZAHRNUTÝM MODELEM SLUCHOVÉ CESTY A MODELEM CASP IMPLEMENTACE OBJEKTIVNÍHO MODELU HODNOCENÍ KVALITY ZVUKU PEMO-Q V PROSTŘEDÍ MATLAB SE ZAHRNUTÝM MODELEM SLUCHOVÉ CESTY A MODELEM CASP M. Zalabák Katedra radioelektroniky, ČVUT FEL v Praze Abstrakt Cílem

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

Lineární a adaptivní zpracování dat. 1. ÚVOD: SIGNÁLY, ČASOVÉ ŘADY a SYSTÉMY

Lineární a adaptivní zpracování dat. 1. ÚVOD: SIGNÁLY, ČASOVÉ ŘADY a SYSTÉMY Lineární a adaptivní zpracování dat 1. ÚVOD: SIGNÁLY, ČASOVÉ ŘADY a SYSTÉMY Daniel Schwarz Investice do rozvoje vzdělávání Osnova Úvodní informace o předmětu Signály, časové řady klasifikace, příklady,

Více

Úloha- Systém sběru dat, A4B38NVS, ČVUT - FEL, 2015 1

Úloha- Systém sběru dat, A4B38NVS, ČVUT - FEL, 2015 1 Úloha Sběr dat (v. 2015) Výklad pojmu systém sběru dat - Systém sběru dat (Data Acquisition System - DAQ) je možno pro účely této úlohy velmi zjednodušeně popsat jako zařízení, které sbírá a vyhodnocuje

Více

v Praze mezi kanály EEG Ondřej Drbal 5. ročník, stud. sk. 9

v Praze mezi kanály EEG Ondřej Drbal 5. ročník, stud. sk. 9 České vysoké učení technické v Praze Algoritmy pro měření zpoždění mezi kanály EEG Ondřej Drbal 5. ročník, stud. sk. 9 31. března 23 Obsah 1 Zadání 1 2 Uvedení do problematiky měření zpoždění signálů 1

Více

Lineární a adaptivní zpracování dat. 1. ÚVOD: SIGNÁLY, ČASOVÉ ŘADY a SYSTÉMY

Lineární a adaptivní zpracování dat. 1. ÚVOD: SIGNÁLY, ČASOVÉ ŘADY a SYSTÉMY Lineární a adaptivní zpracování dat 1. ÚVOD: SIGNÁLY, ČASOVÉ ŘADY a SYSTÉMY Daniel Schwarz Investice do rozvoje vzdělávání Osnova Úvodní informace o předmětu Signály, časové řady klasifikace, příklady,

Více

1 Zpracování a analýza tlakové vlny

1 Zpracování a analýza tlakové vlny 1 Zpracování a analýza tlakové vlny 1.1 Cíl úlohy Prostřednictvím této úlohy se naučíte a zopakujete: analýzu biologických signálů v časové oblasti, analýzu biologických signálů ve frekvenční oblasti,

Více

Měření a vyhodnocování kvality elektrické energie zdroj úspor podniku. Ing. Jaroslav Smetana. Blue Panther s.r.o.

Měření a vyhodnocování kvality elektrické energie zdroj úspor podniku. Ing. Jaroslav Smetana. Blue Panther s.r.o. Měření a vyhodnocování kvality elektrické energie zdroj úspor podniku Ing. Jaroslav Smetana Blue Panther s.r.o. Co je kvalita energie? Vlastnosti elektrické energie - ideální stav: Stabilní frekvence (50

Více

GPS systémy a navigace

GPS systémy a navigace S T U D I U M televizní technika, zobrazovací jednotky telekomunikační technika, inteligentní (SMART) telefony zvuková technika, digitální rozhlas, záznam zvuku, ozvučování, návrh zvukových zařízení a

Více

3. D/A a A/D převodníky

3. D/A a A/D převodníky 3. D/A a A/D převodníky 3.1 D/A převodníky Digitálně/analogové (D/A) převodníky slouží k převodu číslicově vyjádřené hodnoty (např. v úrovních TTL) ve dvojkové soustavě na hodnotu nějaké analogové veličiny.

Více

Teoretická elektrotechnika - vybrané statě

Teoretická elektrotechnika - vybrané statě Teoretická elektrotechnika - vybrané statě David Pánek EK 63 panek50@kte.zcu.cz Fakulta elektrotechnická Západočeská univerzita v Plzni September 26, 202 David Pánek EK 63 panek50@kte.zcu.cz Teoretická

Více

Akustika. 3.1 Teorie - spektrum

Akustika. 3.1 Teorie - spektrum Akustika 3.1 Teorie - spektrum Rozklad kmitů do nejjednodušších harmonických Spektrum Spektrum Jedna harmonická vlna = 1 frekvence Dvě vlny = 2 frekvence Spektrum 3 vlny = 3 frekvence Spektrum Další vlny

Více

Optika v počítačovém vidění MPOV

Optika v počítačovém vidění MPOV Optika v počítačovém vidění MPOV Rozvrh přednášky: 1. A/D převod 2. zpracování obrazu 3. rozhraní kamer 4. další související zařízení 5. motivace - aplikace Princip pořízení a zpracování obrazu Shoda mezi

Více

Digitální paměťový osciloskop (DSO)

Digitální paměťový osciloskop (DSO) http://www.coptkm.cz/ Digitální paměťový osciloskop (DSO) Obr. 1 Blokové schéma DSO Konstrukce U digitálního paměťového osciloskopu je obrazovka čistě indikační zařízení. Vlastní měřicí přístroj je rychlý

Více

1 Elektronika pro zpracování optického signálu

1 Elektronika pro zpracování optického signálu 1 Elektronika pro zpracování optického signálu Výběr elektroniky a detektorů pro měření optického signálu je odvislé od toho, jaký signál budeme detekovat. V první řadě je potřeba vědět, jakých intenzit

Více

Microchip. PICmicro Microcontrollers

Microchip. PICmicro Microcontrollers Microchip PICmicro Microcontrollers 8-bit 16-bit dspic Digital Signal Controllers Analog & Interface Products Serial EEPROMS Battery Management Radio Frequency Device KEELOQ Authentication Products Návrh

Více

Laplaceova transformace

Laplaceova transformace Laplaceova transformace Modelování systémů a procesů (11MSP) Bohumil Kovář, Jan Přikryl, Miroslav Vlček Ústav aplikované matematiky ČVUT v Praze, Fakulta dopravní 5. přednáška 11MSP pondělí 23. března

Více

Návrhy elektromagnetických zení

Návrhy elektromagnetických zení Návrhy elektromagnetických součástek stek a zařízen zení Zuzana Záhorová zuzanaz@humusoft.cz Karel Bittner bittner@humusoft.cz www.humusoft.cz www.comsol comsol.com tel.: 284 011 730 fax: 284 011 740 Program

Více

Virtuální instrumentace v experimentech jaderné fyziky - Vzorové úlohy

Virtuální instrumentace v experimentech jaderné fyziky - Vzorové úlohy Jiří Pechoušek, Milan Vůjtek Virtuální instrumentace v experimentech jaderné fyziky - Vzorové úlohy V tomto dokumentu jsou uvedeny základy úloh probíraných v předmětu KEF/VIJF. KATEDRA EXPERIMENTÁLNÍ FYZIKY

Více

I. Současná analogová technika

I. Současná analogová technika IAS 2010/11 1 I. Současná analogová technika Analogové obvody v moderních komunikačních systémech. Vývoj informatických technologií v poslední dekádě minulého století digitalizace, zvýšení objemu přenášených

Více

Komprese dat Obsah. Komprese videa. Radim Farana. Podklady pro výuku. Komprese videa a zvuku. Komprese MPEG. Komprese MP3.

Komprese dat Obsah. Komprese videa. Radim Farana. Podklady pro výuku. Komprese videa a zvuku. Komprese MPEG. Komprese MP3. Komprese dat Radim Farana Podklady pro výuku Obsah Komprese videa a zvuku. Komprese MPEG. Komprese MP3. Komprese videa Velký objem přenášených dat Typický televizní signál - běžná evropská norma pracuje

Více

Quantization of acoustic low level signals. David Bursík, Miroslav Lukeš

Quantization of acoustic low level signals. David Bursík, Miroslav Lukeš KVANTOVÁNÍ ZVUKOVÝCH SIGNÁLŮ NÍZKÉ ÚROVNĚ Abstrakt Quantization of acoustic low level signals David Bursík, Miroslav Lukeš Při testování kvality A/D převodníků se používají nejrůznější testovací signály.

Více

Digitalizace signálu (obraz, zvuk)

Digitalizace signálu (obraz, zvuk) Digitalizace signálu (obraz, zvuk) Základem pro digitalizaci obrazu je převod světla na elektrické veličiny. K převodu světla na elektrické veličiny slouží např. čip CCD. Zkratka CCD znamená Charged Coupled

Více