Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 1 -



Podobné dokumenty
Měření základních vlastností logických IO TTL

Způsoby realizace této funkce:

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

KOMBINAČNÍ LOGICKÉ OBVODY

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Logické funkce a obvody, zobrazení výstupů

KOMBINAČNÍ LOGICKÉ OBVODY

Číslicové obvody základní pojmy

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE

SEKVENČNÍ LOGICKÉ OBVODY

Sekvenční logické obvody

Schmittův klopný obvod

Zesilovače. Ing. M. Bešta

Aritmetické operace a obvody pro jejich realizaci


MĚŘENÍ HRADLA 1. ZADÁNÍ: 2. POPIS MĚŘENÉHO PŘEDMĚTU: 3. TEORETICKÝ ROZBOR. Poslední změna

Zobrazovací jednotky. 1 z :53. LED technologie.

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

Title: IX 6 11:27 (1 of 6)

1 z :27

1.1 Pokyny pro měření

[Otázky Autoelektrikář + Mechanik elektronických zařízení 1.část] Na rezistoru je napětí 25 V a teče jím proud 50 ma. Rezistor má hodnotu.

PROGRAMOVATELNÉ LOGICKÉ OBVODY

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup

Rezonanční řízení s regulací proudu

Analogově-číslicové převodníky ( A/D )

6 Algebra blokových schémat

Tel-30 Nabíjení kapacitoru konstantním proudem [V(C1), I(C1)] Start: Transient Tranzientní analýza ukazuje, jaké napětí vytvoří proud 5mA za 4ms na ka

4. Elektronické logické členy. Elektronické obvody pro logické členy

2.7 Binární sčítačka Úkol měření:

Studium klopných obvodů

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry

Návrh konstrukce odchovny 2. dil

Sekvenční logické obvody

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

Typy a použití klopných obvodů

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody

Základní zapojení s OZ. Vlastnosti a parametry operačních zesilovačů

Bipolární tranzistory

2. Pomocí Theveninova teorému zjednodušte zapojení na obrázku, vypočtěte hodnoty jeho prvků. U 1 =10 V, R 1 =1 kω, R 2 =2,2 kω.

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

Kompenzovaný vstupní dělič Analogový nízkofrekvenční milivoltmetr

Kódováni dat. Kódy používané pro strojové operace

elektrické filtry Jiří Petržela filtry založené na jiných fyzikálních principech

Hlídač světel automobilu

Zkouškové otázky z A7B31ELI

OBVODY TTL a CMOS. Úvod

Binární logika Osnova kurzu

Operační zesilovač (dále OZ)

Registry a čítače část 2

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor

Projekt Pospolu. Polovodičové součástky diody. Pro obor M/01 Informační technologie

Manuální, technická a elektrozručnost

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing.

Sylabus kurzu Elektronika

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě:

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Úvod do informačních technologií

Struktura a architektura počítačů (BI-SAP) 4

Teorie úlohy: Operační zesilovač je elektronický obvod, který se využívá v měřící, výpočetní a regulační technice. Má napěťové zesílení alespoň A u

Studium tranzistorového zesilovače

Elektronika pro informační technologie (IEL)

Řídicí obvody (budiče) MOSFET a IGBT. Rozdíly v buzení bipolárních a unipolárních součástek

12. Elektrotechnika 1 Stejnosměrné obvody Kirchhoffovy zákony

Bipolární tranzistor. Bipolární tranzistor - struktura. Princip práce tranzistoru. Princip práce tranzistoru. Zapojení SC.

Zdroje napětí - usměrňovače

FET Field Effect Transistor unipolární tranzistory - aktivní součástky unipolární využívají k činnosti vždy jen jeden druh majoritních nosičů

Návrh synchronního čítače

5. Sekvenční logické obvody

1.3 Bipolární tranzistor

Operace ALU. INP 2008 FIT VUT v Brně

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

PŘEDNÁŠKA 1 - OBSAH. Přednáška 1 - Obsah

Manuální, technická a elektrozručnost

Unipolární tranzistor aplikace

+ U CC R C R B I C U BC I B U CE U BE I E R E I B + R B1 U C I - I B I U RB2 R B2

Systémové elektrické instalace KNX/EIB (13. část) Ing. Josef Kunc

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Dioda jako usměrňovač

Střídací tabule na fotbal

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

EduKit84. Výuková deska s programátorem pro mikrokontroléry PIC16F84A firmy Microchip. Uživatelská příručka

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty

1. Navrhněte a prakticky realizujte pomocí odporových a kapacitních dekáda derivační obvod se zadanou časovou konstantu: τ 2 = 320µs

PK Design. Modul multiplexovaných 8 LED displejů v2.0. Přídavný modul modulárního vývojového systému MVS. Verze dokumentu 1.0 (2. 6.

Nalezněte pracovní bod fotodiody pracující ve fotovoltaickem režimu. Zadáno R = 100 kω, φ = 5mW/cm 2.

Fázorové diagramy pro ideální rezistor, skutečná cívka, ideální cívka, skutečný kondenzátor, ideální kondenzátor.

Zvyšující DC-DC měnič

Elektronika ve fyzikálním experimentu

Manuál přípravku FPGA University Board (FUB)

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/

Úvod do informačních technologií

Bipolární tranzistory

Struktura a architektura počítačů (BI-SAP) 5

STAVEBNÍ NÁVODY 1 pro činnost v elektro a radio kroužcích a klubech

Transkript:

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - - 8. ZORZOVÁNÍ INFORMCE V ČÍSLICOVÉ TECHNICE ZORZOVCÍ PRVKY a) Zobrazovací jednotky LED Zkratka LED vznikla z anglických slov "Light Emitting Diode", což bychom přeložili jako světloemitující diody. Nejznámější z nich jsou sedmisegmentové číslicovky nazývané také segmentovky, s červeně, zeleně, žlutě, oranžově či modře svítícími segmenty. Segment skutečně tvoří světelná dioda ve tvaru tenkého proužku. Pouzdro segmentovky je obdélníkového tvaru, v základním provedení jen o několik milimetrů delší a širší než běžné pouzdro obvodu TTL (viz obr. LQ425). Vyrábějí se samozřejmě i s většími rozměry, a také sdružené do několikamístného displeje. Každý segment se může samostatně rozsvítit prostřednictvím vývodů na pouzdru. Kromě sedmi segmentů obsahuje číslicovka někdy jednu či dvě tečky. Příklad zapojení diod - segmentů s jejich označením naleznete na obr. Vhodnou kombinací rozsvícených segmentuje možné zobrazit dekadickou číslici 0-9 a také některá písmena případně znaky. Kromě toho se vyrábějí zvláštní zobrazovací jednotky se znaky +, -, l, stručně zvané znakovky. Obr. : sedmisegmentová zobrazovací jednotka LED Světelné diody pro segmentovky jsou vyrobeny převážně z materiálů Ga s P. Zkratky znamenají galium, arzenid, fosfid. Dnes se kromě těchto základních používají i jiné materiály a také k vyjmenovaným barvám přibyly různé barevné odstíny. Diody tvořící segmenty se projevují stejně jako běžné světelné diody: při průchodu proudu polovodičovým přechodem se uvolňují fotony a vzniká slabé záření. Obr. : rozmístění a značení vývodů (včetně deset. tečky) segmentovky LQ425 Číslicovka samozřejmě nemůže mít vyvedeny oba vývody každého segmentu. Jednak to není účelné a ani by se na pouzdro nevešly. Proto jsou některé vývody uvnitř spojeny a vyvedeny společně. Na spodní straně pouzdra vystupují dvě řady vývodů, seřazených po sedmi. Mají stejné vzdálenosti, na jaké jsme zvyklí u pouzder TTL. Různí výrobci se poněkud liší v rozmístění vývodů a také v počtu diod na segment. Ten může obsahovat např. dvě diody zapojené do série, ale u velkých číslicovek nalezneme i čtyři diody v sérii. Chceme-li zapojovat konkrétní číslicovku, musíme znát přesné přidělení vývodů a vědět, které vývody jsou společné. To zjistíme v katalogu výrobce. Segmentovky se vyrábějí se společnou anodou (tyto jsou vhodné pro spínání dekodérů) nebo se společnou katodou. Vývody anod se spojí paralelně a připojí k napětí +5 V. Jednotlivé katody nutno připojit k zemi, avšak každou přes sériový rezistor. Jeho úkolem je omezit protékající proud na předem stanovenou hodnotu, která bývá u červeně svítících segmentů nejvýše 30 m. Zeleně a žlutě svítící segmenty mají dovolený trvalý proud menší, zpravidla 25 m. Je třeba mít na paměti, že právě tak jako běžné světelné diody nelze připojit přímo ke zdroji, ani diody v podobě segmentů to nedovolují. Stejně tak lze zničit segment větším proudem než dovoleným. Jestliže zničíme třeba jen jediný segment, číslicovka je nepoužitelná. S tím souvisí otázka: jaké napětí se naměří přímo na segmentu číslicovky? Tvoří-li segment jedna dioda, pak se prakticky napětí neliší od napětí na běžných svítivých diodách. Na červeně svítícím segmentu naměříme přibližně,6 V, na žlutě svítícím kolem 2 V a o něco více na zeleně svítícím - nejvýše však 3 V. Rozdíl napětí proti zdroji zůstává na sériovém rezistoru. Tvoří-li segment dvě diody v sérii, pak na segmentu naměříme dvojnásobné napětí. Zbytek zůstává opět na rezistoru. ez sériového rezistoru se nesmí žádný segment připojit ke zdroji napětí, protože dynamický odpor jednoho segmentu v propustném směru není větší než několik ohmů. Velkým proudem by se ihned zničil. b) Zobrazovací jednotky LCD Jsou to zobrazovací prvky patřící do skupiny pasivních prvků, protože samy světlo nevyzařují. Základ tvoří materiál zvaný kapalné krystaly. Je to ostatně vyjádřeno i v samotném názvu pomocí zkratek, představující slova "Liquid Crystal Diode". Z tohoto materiálu jsou zhotoveny jednotlivé segmenty

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 2 - číslicovky. Segmenty se projevují tak, že se využívá jevu zvaného dynamický rozptyl světla. Princip LCD: kapalné krystaly se normálně chovají jako opticky čiré prostředí. Jakmile se však dostanou do působnosti elektrického pole (toto pole nemusí být nikterak silné), dojde k jakési orientaci částic. Navenek se to projeví zhoršenou propustností světla, tedy ztmavnutím. V našem případě ztmavnutím příslušného segmentu. Jakmile elektrické pole přestane působit, propustnost světla kapalných krystalů se okamžitě vrátí. Znovu nabudou původního čirého stavu, segment je průhledný, není vidět - zmizí. K vytvoření elektrického pole se převážně používá střídavé napětí, protože stejnosměrné pole zkracuje životnost zobrazovacích prvků. Zobrazovací jednotka se skládá ze dvou skleněných destiček, mezi nimiž jsou kapalné krystaly. Jedna destička má napařenu průhlednou vodivou vrstvu v podobě požadovaného obrazce: číslice, znaky ap. Na druhé destičce je rovněž vodivá průhledná vrstva, fungující jako společná elektroda. Z obou stran segmentovky jsou pak nalepeny polarizační fólie, bez kterých není zobrazení segmentů vidět. Přední je průhledná, zadní fólie u transmisního provedení rovněž průhledná, u reflexního odrazná. Obr. : zobrazovací jednotka LCD (s tekutými krystaly) UDIČE ZORZOVCÍCH PRVKŮ a) Dekodér převodník kódu CD sedmisegmentových zobrazovacích jednotek Je to logický obvod speciálně konstruovaný k sedmisegmentovým zobrazovacím jednotkám. Tento dekodér se do značné míry liší od dekodéru MH7442. Zásadní rozdíl je v tom, že na výstupní straně dekodéru se neaktivuje pro hledané číslo pouze jeden výstup, nýbrž více výstupů. Je to dáno způsobem skládání číslice z jednotlivých segmentů. Má-li se zobrazit dekadické číslo 8, pak se aktivují dokonce všechny výstupy, to je celkem sedm. Naproti tomu pro zobrazení jedničky postačí dva výstupy, což je zároveň nejmenší možný počet. Vstupy tohoto dekodéru se nijak neliší od ostatních. Jsou čtyři, ale dekodér má ještě další tři vstupy, pověřené zvláštními funkcemi. Formou integrovaného obvodu se takový převodník vyrábí pod označením 7447 nebo D46, D47 a další. Obr.. : schematická značka a vývody integrovaného obvodu 7447 4 ~I/RO 5 3 7 2 6 ~RI ~LT C D O O OC OD OE OF OG 3 2 0 9 5 4 Schématickou značku integrovaného obvodu 7447 (D47) najdete na obr. Malé kroužky na pravé straně u výstupů mají znázornit, že výstup je aktivní v logické nule, stejně jako u dekodéru 7442. V levé vstupní části nacházíme čtyři vstupy. V tom se nijak neliší od ostatních dekodérů. Zajímavé jsou však další pomocné nebo spíš řídicí vstupy. Tak např. vstup I slouží k potlačení svitu nuly. Jinak řečeno, když nepovažujeme za potřebné, nebude nula na číslicovce svítit. Pochopitelně se to týká pouze nuly na začátku vícemístného čísla, zobrazeného více dekádami. Tím se zamezí, aby třeba jedna číslice nemělo vlevo před sebou několik svítících nul. Zvýší se tak přehlednost zobrazeného údaje a sníží spotřeba energie. Se spotřebou souvisí i další vstup O, který umožňuje řídit intenzitu jasu segmentů. Když na vstup O přivedeme signál obdélníkového průběhu o nízké frekvenci, např. l khz, pak intenzita jasu bude záviset na tvaru impulsu. Čím kratší bude horní vodorovná úsečka s úrovní H proti dolní s úrovni L, tím kratší časové úseky budou segmenty svítit. Pozorovatel to vnímá jako menší jas segmentu. Krajní možností je úplné zhasnutí všech segmentů. K tomu dojde v případě, že se na

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 3 - vstup O dostane úroveň L. Někdy tento vstup slouží k signalizaci, která má zprostředkovat sdělení obsluhujícímu. Při nízkém kmitočtu až 4 Hz segmentovka výrazně bliká, čímž upozorňuje na vzniklou závadu. Zcela opačně se projevuje vstup LT, který dokáže rozsvítit všechny segmenty číslicovky najednou. Zobrazí se přitom osmička. Toto je vhodné pro ověření bezchybné funkce všech segmentů. Obvod 7447 pracuje jako budič s otevřeným kolektorovým výstupem a liší se pouze velikostí výstupního napětí. Plně vyhovuje D47, který smí pracovat s výstupním napětím až 5 V. Dekodér D46 má povolené výstupní napětí ještě vyšší. Známe již vše, co je potřeba k sestavení jedné dekády čítače se zobrazením čísla v dekadické soustavě. Z obrázku je patrné, že impulsy, které se mají čítat a následně vyhodnotit, vstupují nejdříve do čítače. Impulsy mají mít strmé průběhy, tj. ostré hrany, případně protažené ve špičky. Sinusový průběh je nevhodný. Z čítače přechází signál do dekodéru. Je to čtyřbitový signál, který vystupuje ze čtyř výstupů čítače a vstupuje do čtyř vstupů dekodéru. Ten pak dekóduje dvojkové číslo až do velikosti 00. Zároveň aktivuje (sepne) některé výstupy, které pak rozsvítí odpovídající segmenty číslicovky. Tak se zobrazí desítkové číslo. Obr. : Schéma zapojení dekády složení s čítače, dekodéru a zobrazovací jednotky Obr.: tabulka zobrazení číslic různými budiči

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 4-9. PLIKCE - PŘÍKLDY OVODŮ V ČÍSLICOVÉ TECHNICE 9.. KOMPRCE (ROVNOST) INÁRNÍCH ČÍSEL Komparátor je zařízení sloužící pro porovnání dvou binárních čísel. Číslicovým komparátorem se pak rozumí logický obvod schopný porovnat hodnoty dvou jednobitových nebo vícebitových binárních čísel. Nejjednodušším číslicovým komparátorem je prosté hradlo XOR - logická funkce nonekvivalence (EXCLUSIVE-OR), neboli výlučný (výhradní) logický součet symbol:. Pravdivostní tabulka nonekvivalence - XOR, příklad zapojení obvodu a schematický znak: Z= 0 0 0 0 0 0 Z = Výlučný (výhradní) logický součet je složitější než prostý logický součet: Z Z pravdivostní tab. plyne, že pokud jsou hodnoty obou vstupů stejné, je výsledkem log. 0; v opačném případě je na výstupu log.. Výlučnost spočívá ve skutečnosti, že výlučný log. součet se od prostého logického součtu liší pouze v posledním řádku pravdivostní tabulky (zde je: =0 ). Někdy se také můžeme setkat s hradly XNOR (log. funkce ekvivalence -shodnost): Z Ty mají oproti hradlům XOR výstup negovaný (při shodě je na výstupu log., při rozdílu pak log. 0). Pravdivostní tabulka ekvivalence (shodnosti) XNOR, příklad zapojení obvodu a schematický znak: Z = 0 0 0 0 0 0 Z Pokud by bylo třeba porovnat dvě vícebitová čísla, musíme použít na každý bit jedno hradlo. Výstupy dílčích hradel XOR je třeba přivést na vstupy hradla OR, protože jedině tak bude výstup v log.0, pokud jsou všechny vstupy v log.0. Tedy při shodě obou vícebitových čísel je výstup hradla OR v log.0, v opačném případě v log. (pokud bychom používali hradla XNOR, musely by být dílčí výstupy podrobeny logickému součinu použili bychom tedy hradlo ND). Obrázek ukazuje zapojení číslicového komparátoru pro 2 čtyřbitová čísla. 0 0 2 2 3 3 2 4 5 9 0 2 3 X-OR 7486 = = = = 3 6 8 4002 Z Z výše uvedeného textu je jasné, že musí být použity čtyři hradla XOR (zde s výhodou použijeme obvod 74LS86) a dále 4vstupové hradlo OR (protože se hradlo OR v integrované formě nevyrábí, použijeme 74HCT4002, které obsahuje dvě 4vstupová hradla NOR; vstupy jsou přivedeny do prvního hradla a jeho výstup je znegován druhým hradlem). Obr.: čtyřbitový číslicový komparátor XOR

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 5-8-bitový komparátor 74LS688 Jak je z předchozího patrné, realizace číslicového komparátoru pro 4bitová čísla není příliš náročná (vystačíme se dvěma IO). V číslicové technice je velmi často třeba 8bitový číslicový komparátor. K tomuto účelu nám slouží integrovaná forma - obvod 74LS688 - porovnává dvě 8bitová čísla. ity prvního čísla přivádíme na vstupy P 0 až P 7, druhé číslo pak na vstupy Q 0 až Q 7. Jsou-li číselné hodnoty reprezentované bity obou čísel shodné je výstup P Q nastaven do log.0. Pokud se čísla liší, je na výstupu log.. Vstup G je použitelný pro kaskádní řazení obvodů (tak lze například ze dvou obvodů 74LS688 vybudovat 6bitový adresový komparátor vstupy G se navzájem oddělí invertorem) nebo pro připojení nižší složky adresového dekodéru. Je-li, G = je výstup P Q nastaven do log. bez ohledu na stav ostatních vstupů. V opačném případě ( G = 0) je výstup P Q nastaven do log. pouze v tom případě, že se obě porovnávaná čísla liší. Rozložení vývodů ukazuje obrázek. Velikostní komparátor 74LS85 Ve dřívějším textu jsme se zmiňovali o číslicových komparátorech, které informují pouze o tom, zda se dvě čísla liší nebo zda jsou stejná. To je pro většinu aplikací naprosto postačující. V případě, že je třeba čísla porovnat vůči sobě, můžeme použít obvod 74LS85. Jedná se o 4bitový velikostní komparátor (velikostní komparátor znamená, že, kromě obvyklého výstupu indikujícího shodu porovnávaných čísel jsou zde ještě výstupy informující o tom, zda je první číslo větší nebo menší než druhé). Obvod je vybaven vstupy pro kaskádní řazení, což nám umožňuje srovnávat čísla větší délky, pokud použijeme více těchto obvodů. Vstupy 0 až 3 slouží k načtení hodnoty prvního čísla. Podobně vstupy 0 až 3 jsou určeny pro druhé číslo. Vstupy < (i), = (i) a > (i) jsou určeny pro kaskádní řazení. V tomto případě je připojíme na stejně označené výstupy obvodu v nižším řádu. Pokud obvod do kaskády nezapojujeme, musí být vstupy < (i) a >(i) připojeny na log.0 a vstup = (i) zase na log.. Výstupy < (o), = (o) a > (o) jsou aktivní v log. a indikují příslušnou relaci obou porovnávaných čísel ( je menší než, a jsou shodná, je větší než ). 9.2. OVODY PRO RITMETICKÉ OPERCE ) Dvojkové sčítání Čísla ve dvojkové soustavě se sčítají obdobně jako v desítkové soustavě. Při součtu dvou bitů, jejichž hodnota je 0 nebo vznikne součet, jehož hodnota je rovněž 0 nebo a popřípadě přenos do dalšího řádu, jehož hodnota pak je. Sčítáme-li dvě čísla o větším počtu bitů, je třeba sečíst vždy dva bity a přenos od nižšího řádu součet přenos do dalšího řádu 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 k součtu přičíst přenos od sčítání bitů nejbližšího méně významného řádu. V tabulce je ukázán součet tří čísel: (součet čísel, a přenosového bitu)

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 6 - Dvojkové odčítání: máme-li od čísla odečíst číslo tj. ( ), můžeme postupovat tak, že číslo učiníme záporným a přičteme k číslu. Platí tedy: ( ) = + (-) Na tomto principu lze čísla odečíst s použitím sčítačky. Musíme však nejdříve vytvořit zápornou hodnotu dvojkového čísla. Jedna z možných metod používá dvojkově komplementární aritmetiku. Číslo se neguje obrácením hodnoty všech bitů čísla a přičtením jedničky k výsledku negace. Pracujeme-li s dvojkovými čísly ve dvojkově komplementárním zápisu, udává vždy bit čísla, který je nejvíce vlevo znaménko čísla. Je to tzv. znaménkový bit. Je-li tento bit, je číslo záporné. Je-li tento bit 0 je číslo kladné. Ukažme si příklad dvojkově komplementárního odečtení čísel 3-2. Dvojkové číslo 2 je v tomto zápisu (00) 2. Vytvoříme-li z něj komplementární tvar a připočteme-li 3 tj. (0) 2 - bude to ekvivalentní výrazu 3-2. Je-li na konci součtu nějaká informace o přenosu, zanedbává se. Potom: Obrácená (negovaná) hodnota čísla 2: (0), přičteme číslo : (00), potom výsledek: (0). Pokud sečteme toto číslo s číslem 3 tj.: (0) + (0) = ( 00) Pokud bychom chtěli realizovat zapojení obvodu pro sčítání a odčítání dvou jednobitových čísel s přenosem do nižšího a do vyššího řádu, pak by obecně návrh mohl například vypadat takto: Obvod sčítá nebo odčítá dvě jednobitová čísla a. Výsledek operace je na výstupu S/R (součet nebo rozdíl) a na výstupu Y (přenos do vyššího bitu). Přenos do nižšího bitu je na výstupu Z. Obecně pro součet dvou jednobitových čísel platí tabulka: Výstup Přenos S/R Y 0 0 0 0 0 0 0 0 0 0 0 0 Pro aritmetický součet platí: 0 + 0 = 0 0 + = + = 0 Nejprve je potřeba sestavit pravdivostní tabulky součtu a rozdílu dvou jednobitových binárních čísel. Při výpočtu budeme uvažovat s dvoubitovým vyjádřením čísel 0 a (tj. 00 a 0). Pokud počítáme: ( - ) = 0 00 = 0 + (-00) = 0 + (0+0) = + 0 = (ale protože jednička je informace o přenosu, potom ji můžeme zanedbat) = 0 + 00 = 0. bez přenosu do nižšího řádu. Pokud počítáme: ( - ) = 00 0 = 00 + (-0) = 00 + (0 +0) = 00 + =. přenos jedničky do nižšího řádu (viz v tabulce : Přenos Z). Pro výstup součtu a rozdílu z pravdivostní tabulky vyplývá, že tabulky jsou shodné (proto nepoužijeme značení S/R z části teorie a můžeme použít jen jednu proměnnou: X). Z tabulky vyplývá logický vztah (možno použít Karnaughovu mapu): Pro rozdílový přenos (Y-) do nižšího řádu platí: Pro součtový přenos (Y+) do vyššího řádu platí: X bychom mohli použít výstup Y pro přenos jak do vyššího, tak do nižšího řádu, použijeme dalšího vstupu označeného C, kterým budeme řídit buď součet čísel nebo rozdíl čísel (C=0 pro součet a C= pro rozdíl). Toho docílíme při použití součinových hradel. ( Y ) ( Y ) Výsledná hodnota Y: Y C ( Y ) C ( Y ) C ( ) C( ) Po úpravě za použití De-Morganových zákonů se dopracujeme k výslednému vztahu pro Y. Y C C Přenos Z Při: C = 0 Při: C = + - Přenos Y+ Součet X Přenos Y- Rozdíl X 0 0 0 0 0 0 0 0 0 0 0 0 0 0

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 7 - Pro realizaci můžeme použít obvody typu 7400, 7404 a 7408. Obr.: Schéma zapojení sčítačky a odčítačky: VCC 5V J X Y Key = J2 Key = J3 C Key = Space SČÍTČK 74LS83 (74LS283) Obvod 74LS83 je označován jako úplná čtyřbitová sčítačka. Tento obvod je vybaven vstupy 0 až 3, 0 až 3 a C IN (Carry in), výstupy jsou označeny S 0 až S 3 a C OUT (Carry out) Vstupy 0 až 3 a 0 až 3 slouží pro připojení dvou sčítaných čísel. Vstup C IN je určen pro přenos z nižšího řádu. Tento vstup je vhodný pro vzájemné propojení několika obvodů 74LS83 za účelem sčítání delších čísel. Podobný účel má výstup C OUT, který je přenosem do vyššího řádu. Výstupy S 0 až S 3 slouží pro zobrazení součtu. Chování celého obvodu lze popsat touto rovnicí: 3 2 0 + 3 2 0 +C IN = C OUT S 3 S 2 S S 0 Z výše uvedené rovnice plyne, že výstup C OUT lze chápat jako čtvrtý bit výstupu. Pokud je výsledek součtu nižší nebo roven (5 0 ) je C OUT = 0, v opačném případě je C OUT nastaven a výstupy S 0 až S 3 obsahují spodní 4 bity výsledku. Ze znalostí počítání s čísly lze poměrně snadno upravit chování sčítačky tak, abychom zrealizovali odčítačku. Platí, že rozdíl (-) vypočítáme jako: + 2D(). Symbolem 2D je označen tzv. druhý doplněk. Podobně můžeme označit první doplněk jako D. První doplněk je prostá negace bitů, matematicky: D( 3 2 0 ) = 3 2 0 Druhý doplněk je negace bitů, ke které přičteme jedničku, matematicky: 2D( 3 2 0 ) = 3 2 0 + Mělo by být jasné, že první doplněk můžeme realizovat velmi snadno pomocí invertorů. Pro přičtení jedničky využijeme vstup C IN, který bude trvale připojen na log.. Na základě toho můžeme zrealizovat obvod, který se bude chovat jako sčítačka nebo jako odečítačka. Při sčítání jsou vstupy přivedeny bez negace a C IN = 0. Při odečítání musí být vstupy znegovány a C IN =.

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 8 - Sériová vícebitová sčítačka dvou binárních čísel Čísla a, která máme sečíst, jsou zapsána ve dvou posuvných registrech. Registry jsou na obr. znázorněny jako čtverce s vyznačenými bity čísel. Zápis, je možno realizovat např. s použitím paralelních vstupů do těchto registrů. Čísla jsou v registrech uspořádána tak, že nejblíže výstupu jsou nejméně významné bity. Výstupy obou registrů jsou připojeny ke sčítačce, v našem případe ke sčítačce poloviční (viz dále). Hodinovými impulsy jsou nyní čísla a přesouvána z registrů do sčítačky. Sčítačka sečte každé dva odpovídající bity čísel a současné vytvoří přenos (vznikne-li při sčítání). Výsledek součtu je veden na sériový vstup registru čísla, přenosy se vedou do registru čísla. Tímto způsobem se sečtou všechny odpovídající bity čísel a tak, že vzniknou dílčí součty a odpovídající přenosy (pokud byly). Tyto výsledky jsou uloženy v obou posuvných registrech. Nyní se podle týchž pravidel a stejným způsobem sečtou nová data, obsažená v registrech. Postup se opakuje tak dlouho, až je přenos nulový, tj.až posuvný registr čísla obsahuje samé nuly. V registru je pak zapsán součet čísel ( + ). Obr.: uspořádání sériového sčítání vícebitových čísel pomocí poloviční sčítačky Poloviční sčítačka: ve výše uvedeném uspořádáni byla použita tzv. poloviční sčítačka. Je to kombinační obvod, který sčítá bity dvou čísel, přičemž do součtu nezahrnuje přenos od nejblíže nižšího řádu. Tento přenos je však poloviční sčítačkou určován a je k dispozici na druhém výstupu obvodu. Funkci poloviční sčítačky lze snadno vysledovat ze schématu. Předpokládejme, že přicházejí bity =0, =l. Na jednom vstupu členu je úroveň L od bitu, na jednom vstupu členu 2 je rovněž úroveň L od bitu. Na výstupu S je tedy úroveň H, tj. S=l. Na jednom vstupu členu 3 je úroveň L od bitu, jeho výstup je tedy na úrovni H a výstup přenosu na úrovni L (nulový přenos). ude-li =l a =0, vymění si členy a 2 úlohy a výsledek bude stejný. Je-li =0 a =0, je na obou vstupech členu 2 úroveň H od bitů a a výstup S je na úrovni L. tj. S=0. Přenos je opět nulový. Je-li =l a =l, je na obou vstupech členu úroveň H a výstup S bude na úrovni L, tj. S=0. Úroveň H však přichází na oba vstupy členu 3, jehož výstup je na úrovni L a výstup přenosu pak na úrovni H. tj. přenos je roven jednotce. Obvod plní funkci. která je přesně určena pravdivostní tabulkou pro sčítání dvou jednobitových čísel. Plná sčítačka: Sčítačku je možno sestavit také tak, že sečte bity dvou čísel, přičemž do součtu zahrne i přenos od nejblíže nižšího řádu. Takový obvod se označuje jako sériová plná sčítačka. Přiklad zapojení je na dalším obr. Předpokládejme, že sčítáme bity =l a =l, a že klopný obvod byl vynulován. Na obou vstupech členu 2 jsou úrovně H, na výstupu je úroveň L. Na výstupu členu 2 je tedy úroveň H. Jeden vstup členu 4 má úroveň L od výstupu Q klopného obvodu, jeho výstup je tedy na úrovni H. Člen 5 má na jednom svém vstupu úroveň L od invertoru, jeho výstup je rovněž na úrovni H. Výstup S je tedy na úrovni L, tj. S=0. Jeden vstup členu 7 má úroveň L od výstupu členu 2. Výstup členu 7 má tedy úroveň H. Ta je vedena na vstup J klopného obvodu, vstup K má úroveň L od invertoru.

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 9 - ~ ~ 2 3 8 4 5 6 S 7 SET J Q K ~Q C RESET Nulov ání Obr.: uspořádání úplné sčítačky Po proběhnutí jednoho hodinového impulsu přejde tedy klopný obvod do stavu H, čímž zachová informaci o přenosu, který byl roven jednotce. Přijde-li nyní do sčítačky např. bit =0 a =0, bude na výstupu členu úroveň L, na výstupu členu 3 úroveň H. Vstupy členu 4 jsou na úrovni H, na jeho výstupu je L a na výstupu S úroveň H, tj. S=l. V součtu byl tedy vzat v úvahu i přenos z předchozí operace. Nu jednom vstupu členu 8 je úroveň L od invenoru, na jeho výstupu je H. Tato úroveň přichází na jeden vstup členu 7. Na druhý vstup téhož členu působí úroveň H od výstupu členu 2. Na výstupu členu 7 je tedy úroveň L. Tu se vede na vstup J klopného obvodu. Po proběhnuti dalšího hodinového impulsu přejde klopný obvod do stavu L. tj. z operace nebyl žádný přenos. Kombinační obvody plné sčítačky tedy sčítají bity a řídí klopný obvod tak, aby uchoval informaci o přenosu. Tato informace pak vchází do součtu, který se realizuje v dalším kroku. Klopný obvod zde pracuje jako typicky paměťový člen. Pracovní postup plné sčítačky je následující: a) vynuluje se klopný obvod b) přivedou se nejméně významné bity čísel a, na výstupu S se objeví součet c) do klopného obvodu se vpustí jeden hodinový impuls, obvod zachová údaj o přenosu d) přivedou se nejblíže vyšší bity čísel a. Na výstupu S se objeví součet zahrnující přenos e) postup se opakuje pro všechny vyšší bity. Čísla a mohou do plné sčítačky přicházet ze dvou registrů tak, jak je uvedeno na předchozím obr. Výsledek plného sčítaní muže být veden zpět do jednoho z těchto registru, nebo do dalšího posuvného registru. Plna sčítačka je složitější než sčítačka poloviční. Při jejím použití však získáme výsledek součtu v jediném početním cyklu. S použitím sériového sčítání je možno i násobit. Násobeni se realizuje opakovaným sčítáním. Máme-li např. realizovat součin (2 3) realizujeme součet 2+2+2. Pro opakované sčítaní je možno jeden z registru sčítačky uspořádat jako registr kruhový. Číslo v něm pak obíhá a s každým během se realizuje jedno sečtení. Schmittův klopný obvod Tento obvod se používá zejména k úpravě tvaru impulsů, které mají být zpracovány logickými obvody. Mohou přetvářet impulsy obecného tvaru (např. sinusové) na impulsy pravoúhlé. Obvod počne plnit svoji funkci, jakmile jeho vstupní napětí dosáhne určité prahové hodnoty, nutné pro změnu stavu Schmittova obvodu. Tyto obvody se proto označují také jako prahové členy. Schmittův klopný obvod lze realizovat např. dvěma invertory nebo logickými členy NND, zapojenými v této funkci. Zapojení je na obr.

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 0 - Zvětší-li se vstupní napětí obvodu asi nad,4 V, přejde výstup členu na úroveň L a výstup členu na úroveň H. Zpětnou vazbou odporem R se výstupní kladné napětí přenáší na vstup, který dosud pracoval s nižší úrovní a urychluje se tím změna stavu obvodu. Jakmile se vstupní napětí zmenší asi pod,4 V, přejde výstup členu na úroveň H a výstup členu na úroveň L. Odpor R působí obdobně, jako v předchozím případě. Volbou sériového odporu R 2 je možno řídit velikost vstupního napětí, při němž se mění stavy obvodu. Tento klopný obvod pracuje uspokojivě do kmitočtu asi 0 MHz. Dioda D chrám vstupy členu před nežádoucími účinky záporného napětí. R2 Schmittovými klopnými obvody lze např. tvarovat sinusové signály tak, aby je bylo možno Vstup R Výstup zpracovávat v čítačích. Tyto klopné obvody slouží též jako detektor úrovně. Stav výstupu 2.2kOhm_5% klopného obvodu určuje, je-li vstupní napětí větší nebo menší, než je prahové napětí obvodu Obr.: Schmittův klopný obvod Obr.: časové průběhy vstupního Obr.: časové průběhy vstupního a výstupního a výstupního signálu signálu invertoru vybaveného běžného invertoru Schmittovým klopným obvodem Na obr. vlevo (běžný invertor) je zobrazen průběh, jak hradlo reaguje na zarušený signál, kde napětí U TR je úroveň překlápění. Podle kmitočtu a intenzity rušení dostaneme na výstupu sekvenci impulsů. Schmittův klopný obvod vykazuje hysterezi. Pokud bude invertor vybaven Schmitovým KO, můžeme na úvod uvažovat s hodnotou vstupního napětí U VST =0 V. Pro tento případ se výstup nachází v log.. Pokud se bude vstupní napětí pohybovat v rozmezí: 0< U VST < U N nebude se stav výstupu měnit a zůstane v log.. Při překročení úrovně U P (překlápěcí napětí) se výstup obvodu překlopí do log. 0. Pokud bychom nyní napětí vstupu snížili, nepřeklopil by se obvod zpět okamžitě, ale až při napětí U N. Rozdíl obou prahů překlápění udává šíři hystereze obvodu. Schmitův klopný obvod se vyrábí v integrované formě pod označením 74LS32 (4 x obvod NND) a 74LS4 (6 x invertor).

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - - 0. RELIZCE LOGICKÝCH ČLENŮ Nejrozšířenějším prvkem log. členů bylo až do nedávné doby elektromagnetické relé. Později se začaly používat diody, tranzistory a dnes se prakticky používají jen integrované obvody. Diodové logické členy Pomocí diod se dá realizovat jak logický součin (obr. ), tak i logický součet (obr. 2). Obr. : Logický součin Obr. 2: Logický součet X X Tranzistorové logické členy Obvod s jedním tranzistorem v zapojení se společným emitorem představuje člen logické negace (obr. 3). Jestliže spojíme výše uvedený součtový člen s členem log. negace tak, že výstupní signál členu OR přivedeme na bázi tranzistoru, dostaneme člen NOR. Podobně můžeme realizovat člen NND. Takovéto logické členy nesly označení DTL. +2V X 0V 0V obr. 3: Logická negace Základním prvkem log. členů v integrovaných obvodech je logika nazývaná TTL (tranzistor-tranzistor-logika). Na obr. 4 je uvedeno zapojení integrovaného log. členu NND se dvěma vstupy. Obr. 4: zapojení členu NND se dvěma vstupy 0.. ZÁKLDNÍ CHRKTERISTIKY LOGICKÝCH ČLENŮ. 0... Vstupní charakteristika Je-li na vstupu obvodu nulové napětí, tj. je-li vstup spojen se společným bodem 0, protéká jím proud daný napětím U CC, odporem R, a úbytkem napětí na přechodu báze-emitor tranzistoru T v propustném směru. Velikost proudu je asi -,m. Záporné znaménko určuje směr proudu ven z obvodu. Zvětšuje-li se napětí na vstupu, záporný proud se zmenšuje. Při vstupním napětí asi,4v bude proud nulový. S dalším zvyšováním napětí na vstupu se přechod báze-emitor polarizuje do závěrného směru. Vstupní proud je nyní kladný (vtéká do obvodu) a je určen převážně proudem tohoto přechodu v závěrném směru. Proud je řádu jednotek až desítek mikroampérů. ude-li napětí na vstupu větší než 5V, závěrný proud přechodu se lavinovitě zvětší a dosáhne se průrazného napětí přechodu. Zvětšení vstupního napětí pak vede k destrukci tranzistoru T. Přivedeme-li na vstup záporné napětí, bude se záporný vstupní proud zvětšovat. Při velikosti tohoto napětí asi -V začne vést tzv.

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 2 - substrátová dioda označená v obr. jako D. Substrátové diody jsou vytvořeny technologickým postupem při výrobě integrovaných obvodů a izolují různé části obvodů vůči společné křemíkové podložce - substrátu. Substrátová dioda nyní převezme zatíženi obvodu; bude-li se záporné vstupní napětí dále zvyšovat. zvětší se i proud touto diodou, což může vést k její destrukci a tedy k destrukci celého obvodu. Pro běžný provoz se proto trvalé zatěžování vstupu záporným napětím nepřipouští. Celá vstupní charakteristika. jak jsme ji popsali. je na obr. Lze ji rovněž snímat osciloskopickou metodou. 0..2 Výstupní charakteristiky Výstup uvažovaného obvodu je realizován tak, aby dovolovat vhodně řídit vstupy navazujících integrovaných obvodů téže řady. Je-li vstup ve stavu H, vtéká do něj vstupní proud. Výstup obvodu ve stavu H musí tedy tento proud dodávat. Je-li vstup ve stavu L, vstupní proud z něj vytéká. Výstup obvodu ve stavu L musí tedy tento proud přijímat. Je tedy třeba rozlišit zatěžování výstupu, je-li ve stavu H, a zatěžování výstupu, je-li ve stavu L. Dostáváme tak dvě zatěžovací charakteristiky. Obr. Vstupní charakteristika log. obvodu NND pro výstup ve stavu H Je-li výstup ve stavu H, je jeho napětí udržováno (do jisté míry) emitorovým sledovačem. Zatěžujeme-li výstup nadměrně, tj. odebíráme-li z něj větší proud, bude se výstupní napětí se zvětšováním proudu zmenšovat prakticky lineárně. Výstupní napětí se zmenšuje asi o 70mV na m. Průběh zatěžovací charakteristiky pro tento stav je na obr. Při běžném provozu, jak je definován elektrickými parametry obvodu, odebírá se v tomto stavu z výstupu proud nejvýše 400m. Obr. Vstupní charakteristika log. obvodu NND pro výstup ve stavu L Je-li výstup ve stavu L, je výstupní napětí určeno saturačním napětím tranzistoru T 4. Zatěžujeme-li výstup, tj. přivádíme-li do něj proud, bude se výstupní napětí s proudem zvětšovat. Průběh výstupní charakteristiky pro tento stav je na obr. Při běžném provozu, definovaném elektrickými parametry obvodu, je do výstupu přiváděn proud nejvýše 6m. udeme-li z výstupu ve stavu L proud odebírat (tj. bude-li zatěžovací proud záporný), bude se výstupní napětí zmenšovat. 0.2. Základní parametry logických hradel. Vstupní odběr (I VST ) Vstupní odběr je proud odebíraný jedním vstupem logického hradla. Podle typu technologie výroby může být proud v absolutní hodnotě stejně veliký pro log. 0 i pro log. nebo se může pro oba stavy lišit. Zatížitelnost výstupu N Zatížitelnost výstupu udává maximální možný odběr z výstupu hradla, při kterém jsou zaručovány napěťové úrovně logických stavů a hodnoty dob náběhu a sestupu a šíření signálu ze vstupu na výstup. Zatížitelnost se udává jako počet vstupů hradel, které lze na výstup připojit. Například: N = 0 znamená, že na výstup lze připojit 0 vstupů hradel ze stejné rodiny logických obvodů. Výjimečně je zatížitelnost udána v jednotkách elektrického proudu. Doba náběhu (t N ) a doba poklesu (t P ) Doba náběhu udává čas potřebný k náběhu výstupu z 0 % maxima na 90 % maxima. Doba poklesu udává čas potřebný pro pokles signálu z 90 % maxima na 0 % maxima. Viz obr...

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 3 - Obr...: Znázornění dob náběhu a poklesu Obr..2.: znázornění doby šíření Doba šíření (t Š - také doba průchodu či zpoždění signálu) Doba šíření (t Š ) udává čas potřebný pro reakci výstupu na změnu vstupu. Obr..2. zobrazuje dobu šíření pro případ invertoru (na vstupu přechází signál z log.0 do log. a zpět, na výstupu je invertován). Jak je z obr..2 patrné, stanovuje se doba šíření mezi body 50 % maxima. Dále je patrné, že z principu může být doba šíření různá při náběžné hraně signálu (přechod z log.0 do log. ) a sestupné hraně (přechod z log. do log.0). V katalogu je pak udáván aritmetický průměr. U některých typů hradel jsou obě doby vyváženy (to je hlavně případ rodiny logických obvodů typu HCT). Povolený rozkmit vstupního a výstupního napětí Pokud provozujeme jakýkoliv elektrický obvod, neměli bychom opomenout rušení. To může být způsobeno například superpozicí síťového kmitočtu 50 Hz na vodiče v obvodu (viz obr..3). Dále může působit vysokofrekvenční rušení. U nevhodně navržených systémů se může projevovat rušení vzniklé samotným přepínáním hradel z log.0 do log.. Důsledkem rušení je fakt, že napěťové úrovně přicházející do vstupů hradel se mohou v čase měnit a poškozovat signál produkovaný výstupy předchozích hradel. by se vliv vstupního rušení minimalizoval, může se vstupní napětí pro log.0 i log. nacházet v jistém rozmezí. Například pro vstupy klasických obvodů TTL je jako log.0 bráno napětí v rozsahu 0 až 0,8 V, jako log. je bráno napětí v rozsahu 2 až 5 V. Viz také tabulka. Druhé nebezpečí poškození signálu je spojeno se zatěžováním výstupu. Výstup hradla, jako u každého reálného zdroje napětí, vykazuje jistý vnitřní odpor. Rostoucí počet vstupů zatěžujících výstup vede k poklesu výstupního napětí (proto se také udává zatížitelnost - viz výše). Pro klasické obvody TTL je výstupní napětí pro log.0 v rozsahu 0 až 0,4 V a pro log. v rozsahu 2,4 až 5 V. Obr..3. Časový průběh vstupního obdélníkového napětí (log. 0 má napěťovou úroveň 0, 4 V a log. má napěťovou úroveň 2, 4 V) s nasuperponovanou střídavou složkou 0,4 V; 50 Hz. Výsledný průběh je silně vytažen. Šumová imunita Šumová imunita je taková velikost napětí, která může vniknout do spojů mezi hradly, aniž by došlo k reakci na tento parazitní signál. Šumová imunita může být různá pro log.0 a. Pro log. 0 je šumová imunita dána jako rozdíl mezi nejvyšší hodnotou vstupního napětí pro log.0 a nejvyšší hodnotou výstupního napětí pro log.0. Například pro klasické obvody TTL je to hodnota 0,4 V (0,8-0,4 V). Pro log. je šumová imunita dána jako rozdíl mezi nejnižší hodnotou vstupního napětí pro log. a nejnižší hodnotou výstupního napětí pro log.. Například pro klasické obvody TTL je to hodnota 0,4 V (2,4-2,0 V). Pro klasické obvody TTL je šumová imunita stejná pro log. 0 i log.

Číslicová technika 4 učební texty (SPŠ Zlín) str.: - 4-0.3. POROVNÁNÍ VLSTNOSTÍ JEDNOTLIVÝCH RODIN LOGICKÝCH OVODŮ V dnešní době je vyráběno mnoho typů logických obvodů. Popsáno bude pouze 5 nejvýznamnějších: Prvně byly vyráběny obvody označované jako TTL (Transistor-Transistor Logic - tranzistorová logika). V současné době nejsou běžně v prodeji, případně je jejich cena neúměrně vysoká. Je to způsobeno skutečností, že jsou nahrazeny dokonalejšími nástupci. Do této kategorie patří například známý obvod 7400 (čtveřice 2vstupových hradel NND). Přímým nástupcem řady TTL je řada TTL LS (Low power Schottky). Ty jsou navrženy vnitřně tak, že vstupy mají nižší spotřebu (viz tab.). Obvody této rodiny jsou běžně v prodeji a jejich cena je velmi příznivá. Patří sem například 74LS00 (obdoba 7400). Řada CMOS 4000 je vyrobena technologií CMOS (pracuje s unipolárními tranzistory s indukovaným kanálem P a N). Vnitřní odpor těchto tranzistorů ve stavu sepnuto je poměrně vysoký, takže je vysoká parazitní kapacita mezi hradlem a emitorem. To způsobuje poměrně špatné dynamické parametry (nízký mezní kmitočet a dlouhé doby náběhu a poklesu, (viz tab.). Zdánlivou výhodou je možnost práce v širokém rozsahu napájecích napětí (3 až 5 V). Tato skutečnost je zajištěna optimalizací parametrů pro maximální napájecí napětí. Při běžném napětí 5 V jsou obvody velmi pomalé. Příkladem je 4002 (dvojice 4vstupových hradel NOR). Řada HC (High speed Cmos) je rovněž vyráběna technologií CMOS. Vlastnosti unipolárních tranzistorů jsou optimalizovány na napětí 5 V. V této řadě jsou vyráběny nejenom obvody se stejnou funkcí jako v řadách TTL resp. TTL LS, ale i CMOS 4000. Tak si můžete koupit obvody 74HC00, ale i 74HC4002. Cena je nepatrně vyšší než u obvodů TTL LS. Přímým nástupcem řady HC je řada HCT (High speed Cmos compatible with TTL). Vlastnosti jsou upraveny tak, aby byly tyto obvody slučitelné (kompatibilní) s obvody TTL resp. TTL LS. Cena je srovnatelná s obvody HC a podobně lze koupit například obvody 74HCT00 nebo 74HCT4002. Poměrně často nás může zajímat otázka vzájemné slučitelnosti obvodů z různých rodin. Tedy jestli je možno vzájemně propojovat vstupy a výstupy obvodů různých rodin log. obvodů. Ne že by hrozilo poškození (to snad pouze v případě, že bychom používali obvod CMOS 4000 napájený vyšším napětím než 5 V), spíše jde o fakt rozdílné definice log. úrovní u jednotlivých rodin. Tabulka parametrů jednotlivých rodin logických obvodů Parametr TTL TTL LS HC HCT CMOS 4000 I VST log.0 [m] -,4-0,36-6,0-3 -0-3 -0-3 I VST log. [m] 0,04 0, 6. 0-3 0-3 0-3 I VÝST log.0 [m] 20 4 4 6 0,4 I VÝST log. [m] -0,4-0,4-4 -6-0,4 Napájecí napětí [V] 4,75 až 5,25 4,5 až 5 2 až 7 4,5 až 5 3 až 5 U VST log.0 [V] 0 až 0,8 0 až 0,8 0 až 0 až 0,8 0 až,5 U VST log. [V] 2 až 5 2 až 5 3,5 až 5 2 až 5 3,5 až 5 U VÝST log.0 [V] 0 až 0,4 0 až 0,4 0 až 0,5 0 až 0,3 0 až 0,5 U VÝST log. [V] 2,4 až 5 2,7 až 5 4,5 až 5 4,5 až 5 4,5 až 5 N [ks] 0 20 600 6000 400 t N [ns] 20 2 až 5 5 2 60 t P [ns] 5 2 až 5 5 2 60 Šum. imunita log.0 [V] 0,4 0,4 0,5 0,5 Šum. imunita log. [V] 0,4 0,7 2,5