Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Rozměr: px
Začít zobrazení ze stránky:

Download "Číselné vyjádření hodnoty. Kolik váží hrouda zlata?"

Transkript

1 Čísla a logika

2 Číselné vyjádření hodnoty Au Kolik váží hrouda zlata?

3 Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží g 729g 9 závaží g

4 Binární vážení závaží 52g závaží 256g Přidám nejtěžší závaží. Pokud je příliš těžké, odeberu ho zpět a zkusím poloviční závaží 28g závaží 64g závaží 32g závaží 6g závaží 8g závaží 4g závaží 2g závaží g g

5 Číselné soustavy symbolický zápis hodnoty primitivní: 3 piv = římský zápis čísla 844 = MDCCCXLIV (5-)+(5-) umožňuje vyjádřit běžné hodnoty složitá pravidla zápisu zcela nevhodný pro matematiku (zkuste vynásobit MDCLXVI x XLIV)...AB... IF A >= B THEN A + B CI = + IF A < B THEN A - B IX = - + arabský zápis čísla umožňuje vyjádřit libovolně velké hodnoty jednoduchá pravidla zápisu vhodný pro matematické výpočty (zná pojem nuly!)

6 Polyadické číselné soustavy úplný zápis čísla 729 ve tvaru polynomu: 7* 2 + 2* + 9* počet závaží poměr vah většího a menšího závaží obecně polynom: Z =Z Z = A n *Z n + A n- *Z n A 2 *Z 2 + A *Z + A *Z = A n *Z n kde A = řádová číslice, Z = základ číselné soustavy n= vždy platí A Z-, protože Z*Z n =*Z n+ binární soustava oktalová soustava dekadická soustava hexadecimální soustava Z = 2 Z = 2 3 = 8 Z = Z = 2 4 = 6 A A 7 A 9 A 5

7 Převod z BIN do DEC Váha bitu: sečteme váhy jedničkových bitů

8 Převod z DEC do BIN = = = = 2 5 Od čísla se postupně odečítají váhy jednotlivých bitů (počínaje nejvyšší vahou, která se ještě vejde do čísla). Jestliže je výsledek odčítání záporný, do výsledku se zapíše a odčítání se anuluje. Jestliže je výsledek odčítání nezáporný, do výsledku se zapíše a v dalším kroku se odečítá od zbytku = = 9-8 = - 4 = = - - = Váha bitu:

9 Hexadecimální soustava zleva doplnit nulami na 4 bity váha bitu: D 9 převod HEX BIN a BIN HEX: vždy 4 číslice binárního čísla (bity) odpovídají jedné hexadecimální číslici jako číslice s hodnotou větší než 9 se používají písmena A až F Převodní tabulka: bin dec hex A B C D E F

10 Logika výroková logika lze ji chápat intuitivně všechny kovy se teplem roztahují měď je kov dedukce: měď se teplem roztahuje matematická logika přirozený jazyk je nejednoznačný formalizace, symboly...

11 Booleova algebra obdoba numerické algebry zavedena pro výrokovou logiku jsou definovány operace... unární operace negace (NOT)... binární operace sjednocení (OR)... binární operace průnik (AND) negace + součet * součin je definována binární relace... relace ekvivalence operace booleovy algebry lze realizovat technickými prvky

12 Logická funkce NOT negace (inverze) A vše ostatní = not A

13 Logická funkce AND logický součin (průnik) A B A and B

14 Logická funkce OR logický součet (sjednocení) A B A or B

15 Tabulka logických funkcí A B not A A or B A and B A xor B mohou nastat 4 různé kombinace stavů A,B XOR zkratka z exclusive OR. Výstup je logická tehdy a jen tehdy pokud se hodnoty vstupů liší.

16 Funkce NOT (inverze) relé v klidovém stavu PROPOJÍ okruh žárovky vybuzené relé ROZPOJÍ okruh žárovky

17 Funkce AND (logický součin) k propojení okruhu žárovky je potřebné, aby byly oba kontakty A, B sepnuty současně

18 Funkce OR (logický součet) k propojení okruhu žárovky postačí, aby byl sepnut jeden z kontaktů A, B

19 Elektronické logické obvody aktivní (elektronka, tranzistor) pasivní (odpory, diody) Dnes se téměř výhradně používají logické obvody s tranzistory HRADLA schematická značka = abstraktní symbol, nezávislý na technické realizaci hradlo AND hradlo NAND symbol inverze

20 Přenosová charakteristika Nejjednodušším prvkem je INVERTOR pracuje analogově (spojitě)!! log. U 2 U U 2 nedefinovaný stav charakteristika udává závislost výstupního napětí U 2 na vstupním napětí U log. logická logická U

21 Přehled kombinačních logických funkcí

22 Logické schéma grafické zobrazení propojení hradel schéma lze popsat soustavou logických rovnic požadovanou funkci lze vyjádřit logickými rovnicemi z logických rovnic lze odvodit schéma C A F B D C F = not ( A and B ) or not (not A and B) D

23 Zpoždění hradla ideální hradlo přenáší změny vstupních signálů na výstup bez zpoždění reálná hradla mají zpoždění! Důsledek: hazardní stavy tohle podle rovnic neexistuje! B = not A F = not (A and B) = vždy F A ideální B ideální F reálné B A B reálné F

24 Synchronní logika výstup kombinačního obvodu se zapisuje do klopného obvodu hodinovými impulsy stav kombinačních obvodů se do příštího hodinového impulsu musí ustálit CLK A D Q C B CLK C F D Q C Q A B C F hazardní stav byl odstraněn Q čím menší zpoždění, tím vyšší může být hodinový kmitočet!

25 Funkční bloky složitější logické obvody, složené z hradel registr, multiplexor, sčítačka, ALU, nejdříve to byly konstrukční bloky pak výměnné desky pak integrované obvody MSI dnes typické celky vyšší úrovně, umožňující zjednodušit popis procesoru či počítače

26 Klopný obvod základní prvek statické paměti základní prvek registrů mechanický model: stabilní stav udržuje zpětná vazba A B OR NOR 2 hradla NOR

27 Registr bit bit D Q D R C D R C Q D Q Q Data Hodiny Set Reset D C S R Q Q Výstup bit 2. reset nulování D 2 Q 2 D R C strobe zápis Q klopný obvod typu D

28 Multiplexor Podle adresy aktivuje jeden vstup a předá ho na výstup. A B C D A B C D A 2 B 2 C 2 D 2 S S S 2 A B C D select = C

29 Příklad použití multiplexoru výběr zdroje adresy pro operační paměť registr A registr B registr C registr D multiplexor ADRESA operační paměť DATA

30 Aritmetický součet čísel CO x CI x 2 7 A x B x S x v binární soustavě podobně: když je součet řádových číslic větší než, zapíše se do výsledku hodnota součtu zmenšená o 2 (základ) a do vyššího řádu se přičte přenos v dekadické soustavě: když je součet řádových číslic větší než 9, zapíše se do výsledku hodnota součtu zmenšená o (základ) a do vyššího řádu se přičte přenos

31 Binární součet čísel CO n CI n A B S n S n a CO n lze definovat pravdivostní tabulkou jako logické funkce A n, B n a CI n

32 Funkční tabulka -bitové sčítačky A B Součet C (n-) C (n) když C (n-) = když C (n-) = Cn = přenos C

33 DeMorganova pravidla pro převody A + B = A B a AB = A + B NOR je ekvivalentní inverzím následovaným součinem NAND je ekvivalentní inverzím následovanými součtem

34 Logické schéma sčítačky generátor přenosu polo-sčítačka realizující A + B polo-sčítačka realizující CI + (A + B)

35 Zobrazení záporných čísel pro vyjádření polarity stačí bit = 729 = -729 toto zobrazení je jednoduché, ale není vhodné pro sčítání sčítačkou = = -729 = -458 výsledek má být nula!!!

36 Dvojkový doplněk jednoduché odvození záporné hodnoty: = 729 negace přičtení = -729 = max. kladné číslo (32767)... = = = - = = max. záporné číslo (-32768)

37 Sčítání ve dvojkovém doplňku při sčítání čísel vyjádřených ve dvojkovém doplňku dává sčítačka správný výsledek = = -729 = výstup C out sčítačky výstup S sčítačky

38 Aritmetická a logická jednotka sčítačka rozšířená o další funkce jedná se vlastně o funkční generátor řídícími signály lze nastavit funkci R = f(a,b) více bitů paralelně operand A více bitů paralelně operand B R = f(a,b) více bitů paralelně výsledek R stav několik bitů paralelně kód požadované funkce f ADD, SUB, ADC, SBC, AND, OR, XOR,.

39 Konec přednášky

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Aritmetické operace a obvody pro jejich realizaci

Aritmetické operace a obvody pro jejich realizaci Kapitola 4 Aritmetické operace a obvody pro jejich realizaci 4.1 Polyadické číselné soustavy a jejich vlastnosti Polyadické soustavy jsou určeny přirozeným číslem z, kterému se říká základ nebo báze dané

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

Základní jednotky používané ve výpočetní technice

Základní jednotky používané ve výpočetní technice Základní jednotky používané ve výpočetní technice Nejmenší jednotkou informace je bit [b], který může nabývat pouze dvou hodnot 1/0 (ano/ne, true/false). Tato jednotka není dostatečná pro praktické použití,

Více

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE

SČÍTAČKA, LOGICKÉ OBVODY ÚVOD TEORIE SČÍTAČKA, LOGICKÉ OBVODY ÚVOD Konzultanti: Peter Žilavý, Jindra Vypracovali: Petr Koupý, Martin Pokorný Datum: 12.7.2006 Naším úkolem bylo sestrojit pomocí logických obvodů (tzv. hradel) jednoduchou 4

Více

Struktura a architektura počítačů (BI-SAP) 5

Struktura a architektura počítačů (BI-SAP) 5 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 5 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Ústav radioelektroniky Vysoké učení technické v Brně Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Přednáška 8 doc. Ing. Tomáš Frýza, Ph.D. listopad 2012 Obsah

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MODERNIZCE VÝUK PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod XOR neboli EXLUSIV OR Obor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY KOMBINAČNÍ LOGICKÉ OBVODY Použité zdroje: http://cs.wikipedia.org/wiki/logická_funkce http://www.ibiblio.org http://martin.feld.cvut.cz/~kuenzel/x13ups/log.jpg http://www.mikroelektro.utb.cz http://www.elearn.vsb.cz/archivcd/fs/zaut/skripta_text.pdf

Více

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí.

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí. Základní pojmy IT, číselné soustavy, logické funkce Základní pojmy Počítač: Stroj na zpracování informací Informace: 1. data, která se strojově zpracovávají 2. vše co nám nebo něčemu podává (popř. předává)

Více

Čísla, reprezentace, zjednodušené výpočty

Čísla, reprezentace, zjednodušené výpočty Čísla, reprezentace, zjednodušené výpočty Přednáška 5 A3B38MMP kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, ČVUT - FEL, kat. měření 1 Čísla 4 bitová dec bin. hex. 0 0000 0 1 0001

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody.

Y36SAP. Osnova. Číselné soustavy a kódy, převody, aritmetické operace Y36SAP Poziční číselné soustavy a převody. Y36SAP Číselné soustavy a kódy, převody, aritmetické operace Tomáš Brabec, Miroslav Skrbek - X36SKD-cvičení. Úpravy pro SAP Hana Kubátová Osnova Poziční číselné soustavy a převody Dvojková soust., převod

Více

2. ÚVOD DO OVLÁDACÍ TECHNIKY

2. ÚVOD DO OVLÁDACÍ TECHNIKY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 2. ÚVOD DO OVLÁDACÍ TECHNIKY OVLÁDACÍ TECHNIKA A LOGICKÉ ŘÍZENÍ 2.1.5 LOGICKÉ FUNKCE Cíle: Po prostudování

Více

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony.

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK. Tomáš Bayer bayertom@natur.cuni.cz

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: počítačové

Více

Mikroprocesorová technika (BMPT)

Mikroprocesorová technika (BMPT) Mikroprocesorová technika (BMPT) Přednáška č. 10 Číselné soustavy v mikroprocesorové technice Ing. Tomáš Frýza, Ph.D. Obsah přednášky Číselné soustavy v mikroprocesorové technice Dekadická, binární, hexadecimální

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY DIGITÁLN LNÍ OBVODY A MIKROPROCESORY BDOM Prof. Ing. Radimír Vrba, CSc. Doc. Ing. Pavel Legát, CSc. Ing. Radek Kuchta Ing. Břetislav Mikel Ústav mikroelektroniky FEKT VUT @feec.vutbr.cz

Více

Čísla, reprezentace, zjednodušené výpočty

Čísla, reprezentace, zjednodušené výpočty Čísla, reprezentace, zjednodušené výpočty Přednáška 4 A3B38MMP kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2014, J.Fischer, ČVUT - FEL, kat. měření 1 Čísla 4 bitová dec bin. hex. 0 0000 0 1 0001

Více

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě:

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě: Přednášející : Ing. Petr Haberzettl Zápočet : práce na doma hlavně umět vysvětlit Ze 120 lidí udělá maximálně 25 :D Literatura : Frištacký - Logické systémy Číselné soustavy: Nevyužíváme 10 Druhy soustav:

Více

Násobení. MI-AAK(Aritmetika a kódy)

Násobení. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Násobení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

3 Jednoduché datové typy 2 3.1 Interpretace čísel v paměti počítače... 3. 4 Problémy s matematickými operacemi 5

3 Jednoduché datové typy 2 3.1 Interpretace čísel v paměti počítače... 3. 4 Problémy s matematickými operacemi 5 Obsah Obsah 1 Číselné soustavy 1 2 Paměť počítače 1 2.1 Měření objemu paměti počítače................... 1 3 Jednoduché datové typy 2 3.1 Interpretace čísel v paměti počítače................. 3 4 Problémy

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty

Data v počítači. Informační data. Logické hodnoty. Znakové hodnoty Data v počítači Informační data (elementární datové typy) Logické hodnoty Znaky Čísla v pevné řádové čárce (celá čísla) v pohyblivé (plovoucí) řád. čárce (reálná čísla) Povelová data (instrukce programu)

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Struktura a architektura počítačů (BI-SAP) 6

Struktura a architektura počítačů (BI-SAP) 6 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 6 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry 18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry Digitální voltmetry Základním obvodem digitálních voltmetrů je A/D

Více

Algoritmy I. Číselné soustavy přečíst!!! ALGI 2018/19

Algoritmy I. Číselné soustavy přečíst!!! ALGI 2018/19 Algoritmy I Číselné soustavy přečíst!!! Číselné soustavy Každé číslo lze zapsat v poziční číselné soustavě ve tvaru: a n *z n +a n-1 *z n-1 +. +a 1 *z 1 +a 0 *z 0 +a -1 *z n-1 +a -2 *z -2 +.. V dekadické

Více

PJC Cvičení #2. Číselné soustavy a binární reprezentace proměnných

PJC Cvičení #2. Číselné soustavy a binární reprezentace proměnných PJC Cvičení #2 Číselné soustavy a binární reprezentace proměnných Číselné soustavy Desítková (decimální) kdo nezná, tak...!!! Dvojková (binární) - nejjednodušší Šestnáctková (hexadecimální) - nejpoužívanější

Více

Automatizace je proces při němž je řídicí funkce člověka nahrazována činností

Automatizace je proces při němž je řídicí funkce člověka nahrazována činností Automatizace je proces při němž je řídicí funkce člověka nahrazována činností různých přístrojů a zařízení. (Mechanizace, Automatizace, Komplexní automatizace) Kybernetika je Věda, která zkoumá obecné

Více

Seznam témat z předmětu ELEKTRONIKA. povinná zkouška pro obor: L/01 Mechanik elektrotechnik. školní rok 2018/2019

Seznam témat z předmětu ELEKTRONIKA. povinná zkouška pro obor: L/01 Mechanik elektrotechnik. školní rok 2018/2019 Seznam témat z předmětu ELEKTRONIKA povinná zkouška pro obor: 26-41-L/01 Mechanik elektrotechnik školní rok 2018/2019 1. Složené obvody RC, RLC a) Sériový rezonanční obvod (fázorové diagramy, rezonanční

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Kódováni dat. Kódy používané pro strojové operace

Kódováni dat. Kódy používané pro strojové operace Kódováni dat Před zpracováním dat například v počítači je třeba znaky převést do tvaru, kterému počítač rozumí, tj. přiřadit jim určité kombinace bitů. Tomuto převodu se říká kódování. Kód je předpis pro

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

BI-JPO (Jednotky počítače) Cvičení

BI-JPO (Jednotky počítače) Cvičení BI-JPO (Jednotky počítače) Cvičení Ing. Pavel Kubalík, Ph.D., 2010 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha & EU: Investujeme

Více

Dělení. MI-AAK(Aritmetika a kódy)

Dělení. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Dělení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha& EU:

Více

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

ČÍSELNÉ SOUSTAVY PŘEVODY

ČÍSELNÉ SOUSTAVY PŘEVODY ČÍSELNÉ SOUSTAVY V každodenním životě je soustava desítková (decimální, dekadická) o základu Z=10. Tato soustava používá číslice 0, 1, 2, 3, 4, 5, 6, 7, 8 a 9, není však vhodná pro počítače nebo číslicové

Více

C2115 Praktický úvod do superpočítání

C2115 Praktický úvod do superpočítání C2115 Praktický úvod do superpočítání IX. lekce Petr Kulhánek, Tomáš Bouchal kulhanek@chemi.muni.cz Národní centrum pro výzkum biomolekul, Přírodovědecká fakulta, Masarykova univerzita, Kotlářská 2, CZ-61137

Více

Úplný systém m logických spojek. 3.přednáška

Úplný systém m logických spojek. 3.přednáška Úplný sstém m logických spojek 3.přednáška Definice Úplný sstém m logických spojek Řekneme, že množina logických spojek S tvoří úplný sstém logických spojek, jestliže pro každou formuli A eistuje formule

Více

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly Počítačové systémy Zobrazení čísel v počítači Miroslav Flídr Počítačové systémy LS 2007-1/21- Západočeská univerzita v Plzni Vážený poziční kód Obecný předpis čísla vyjádřeného v pozičním systému: C =

Více

Informační a komunikační technologie

Informační a komunikační technologie Informační a komunikační technologie 2. www.isspolygr.cz Vytvořil: Ing. David Adamovský Strana: 1 Škola Integrovaná střední škola polygrafická Ročník Název projektu 1. ročník SOŠ Interaktivní metody zdokonalující

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Obsah. Předmluva 13 Zpětná vazba od čtenářů 14 Zdrojové kódy ke knize 15 Errata 15

Obsah. Předmluva 13 Zpětná vazba od čtenářů 14 Zdrojové kódy ke knize 15 Errata 15 Předmluva 13 Zpětná vazba od čtenářů 14 Zdrojové kódy ke knize 15 Errata 15 KAPITOLA 1 Úvod do programo vání v jazyce C++ 17 Základní pojmy 17 Proměnné a konstanty 18 Typy příkazů 18 IDE integrované vývojové

Více

Praktické výpočty s komplexními čísly (především absolutní hodnota a fázový úhel) viz např. vstupní test ve skriptech.

Praktické výpočty s komplexními čísly (především absolutní hodnota a fázový úhel) viz např. vstupní test ve skriptech. Praktické výpočty s komplexními čísly (především absolutní hodnota a fázový úhel) viz např. vstupní test ve skriptech. Neznalost amplitudové a fázové frekvenční charakteristiky dolní a horní RC-propusti

Více

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor MĚŘENÍ Laboratorní cvičení z měření část 3-6-1 Teoretický rozbor Výukový materiál Číslo projektu: CZ.1.07/1.5.00/34.0093 Šablona: III/2 Inovace a zkvalitnění výuky prostřednictvím ICT Sada: 1 Číslo materiálu:

Více

DUM 02 téma: Elementární prvky logiky výklad

DUM 02 téma: Elementární prvky logiky výklad DUM 02 téma: Elementární prvky logiky výklad ze sady: 01 Logické obvody ze šablony: 01 Automatizační technika I Určeno pro 3. ročník vzdělávací obor: 26-41-M/01 Elektrotechnika ŠVP automatizační technika

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

Univerzita Tomáše Bati ve Zlíně

Univerzita Tomáše Bati ve Zlíně Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Struktura logických obvodů Přednáška č. 10 Milan Adámek adamek@ft.utb.cz U5 A711 +420576035251 Struktura logických obvodů 1 Struktura logických

Více

6 Algebra blokových schémat

6 Algebra blokových schémat 6 Algebra blokových schémat Operátorovým přenosem jsme doposud popisovali chování jednotlivých dynamických členů. Nic nám však nebrání, abychom přenosem popsali dynamické vlastnosti složitějších obvodů,

Více

Otázka TECH číslo 1 Str. 1/7

Otázka TECH číslo 1 Str. 1/7 Otázka TECH číslo 1 Str. 1/7 Principy počítačů Historie a vývoj výpočetní techniky Předchůdci Abbakus (podobný princip jako počítadlo), mechanické kalkulátory (da Vinci, Schickard, Pascal, von Liebnitz,

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/ Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView Logické stavy, číselné systémy, typy logických

Více

v aritmetické jednotce počíta

v aritmetické jednotce počíta v aritmetické jednotce počíta tače (Opakování) Dvojková, osmičková a šestnáctková soustava () Osmičková nebo šestnáctková soustava se používá ke snadnému zápisu binárních čísel. 2 A 3 Doplněné nuly B Číslo

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: automatizační technika 1. Senzory 2. S7-1200, základní pojmy 3. S7-1200, bitové instrukce 4. S7-1200, časovače, čítače 5. Vizualizační systémy 6. S7-1200,

Více

Algoritmizace a programování

Algoritmizace a programování Algoritmizace a programování Výrazy Operátory Výrazy Verze pro akademický rok 2012/2013 1 Operace, operátory Unární jeden operand, operátor se zapisuje ve většině případů před operand, v některých případech

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Hlídač světel automobilu

Hlídač světel automobilu Hlídač světel automobilu Jan Perný 24.07.2006 www.pernik.borec.cz 1 Úvod Protože se u nás stalo povinným celoroční svícení a za nedodržení tohoto nařízení hrozí poměrně vysoké sankce, požádal mě bratr,

Více

Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh. Ing. Hodál Jaroslav, Ph.D. VY_32_INOVACE_25 09

Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh. Ing. Hodál Jaroslav, Ph.D. VY_32_INOVACE_25 09 Střední průmyslová škola a Vyšší odborná škola technická Brno, Sokolská 1 Šablona: Název: Téma: Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh Operátory Autor:

Více

mové techniky budov Osnova Základy logického Druhy signálů

mové techniky budov Osnova Základy logického Druhy signálů Základy Systémov mové techniky budov Základy logického řízení Ing. Jan Vaňuš N 716 tel.: 59 699 1509 email: jan.vanus vanus@vsb.czvsb.cz http://sweb sweb.cz/jan.vanus Druhy signálů, Osnova, základní dělení

Více

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu 5. Obvody pro číslicové zpracování signálů 1 Číslicový systém počítač v reálném prostředí Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu Binární data

Více

Jak v Javě primitivní datové typy a jejich reprezentace. BD6B36PJV 002 Fakulta elektrotechnická České vysoké učení technické

Jak v Javě primitivní datové typy a jejich reprezentace. BD6B36PJV 002 Fakulta elektrotechnická České vysoké učení technické Jak v Javě primitivní datové typy a jejich reprezentace BD6B36PJV 002 Fakulta elektrotechnická České vysoké učení technické Obsah Celočíselný datový typ Reálný datový typ Logický datový typ, typ Boolean

Více