Číslicové obvody základní pojmy

Rozměr: px
Začít zobrazení ze stránky:

Download "Číslicové obvody základní pojmy"

Transkript

1 Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů: zapnuto (vyšší napětí H high), pravda, true, log 1 vypnuto (nižší napětí L low), nepravda, false, log 0 U[V] typická amplituda log 1 typická amplituda log 0 horní mez 1 dolní mez 1 rozhodovací amplituda horní mez 0 dolní mez 0

2 Logická proměnná, log. operace, číselné soustavy Logická proměnná veličina, která nabývá pouze dvou hodnot (0, 1) nemůže se spojitě měnit Logické operace popis: pomocí logických operátorů (logický součet, negace...) pomocí pravdivostní tabulky (příklad spínače a žárovky) Dvojková soustava (základ 2) Osmičková soustava (základ 8) Šestnáctková soustava (základ 16)

3 Dvojková a šestnáctková soustava Převod z dvojkové do desítkové soustavy Převod z desítkové do dvojkové soustavy Převod z šestnáctkové do desítkové soustavy Převod z desítkové do šestnáctkové soustavy Převod z dvojkové do šestnáctkové soustavy Převod z šestnáctkové do dvojkové soustavy

4 Dvojkový doplněk Dvojkový doplněk Desítkově

5 Logická proměnná, logické operace Logická proměnná veličina, která nabývá pouze dvou hodnot (0, 1) nemůže se spojitě měnit Logické operace popis: pomocí logických operátorů (logický součet, negace...) pomocí pravdivostní tabulky (příklad spínače a žárovky)

6 Základní logické operace 2 binární operace logický součet logický součin 1 unární operace negace 2 konstanty 0,

7 Zadání logické funkce logická funkce je plně zadaná když je známa její hodnota pro všechny možné kombinace (2 n ) hodnot vstupních proměnných zadání pomocí pravdivostní tabulky index C funkční hodnota minterm * * C * C * C * C C C * * C * * C

8 Zadání logické funkce zadání pomocí základního součtového nebo součinového tvaru Y = * C + * C + C + * * C index C funkční hodnota minterm MS LS * * C * C * C * C C C * * C * * C

9 Převod pravdivostní tabulka součet mintermů součet mintermů pravdivostní tabulka Příklad C C C C C Y * * * * * * * * * * = C Y * * * + + =

10 Kombinační logické obvody C kombinační obvod Y výstupní hodnota závisí pouze na kombinaci vstupních hodnot nemají žádnou vnitřní paměť lze pomocí nich realizovat logické funkce argument vstupní hodnota výsledek operace výstupní hodnota

11 Technologie výroby číslicových obvodů Logika TTL (transistor-transistor-logic) napájeny 5 V obvody, které se vyrábí touto technologií, používají k vytvoření logické funkce bipolární tranzistory CMOS technologie v současné době nejběžnější technologie základní jednotka unipolární tranzistory napájecí napětí je možno volit (1,5 15 V)

12 Logické funkce logický součin (ND) logický součet (OR) negace (NOT) negovaný součin (NND) negovaný součet (NOR) nonekvivalence (XOR) ekvivalence (XNOR)

13 Základní logické funkce + Ā

14 Přiřazení kombinačních obvodů logickým funkcím logická funkce zkratka značka logický součet logický součin negace negovaný součet negovaný součin nonekvivalence ekvivalence OR ND NOT NOR NND XOR XNOR 1 & 1 1 & =1 =1 Y Y Y Y Y Y Y

15 TTL invertor realizuje negaci

16 ooleova algebra použití pro optimalizaci logických výrazů 2 základní binární operace logický součet logický součin +. 1 unární operace negace 2 konstanty ,

17 ooleova algebra základní axiomy komutativní zákon asociativní zákon distributivní zákon neutralita 0 a 1 vlastnosti komplementu agresivita 0 a 1 idempotence (x + x = x, x x = x) absorbce (x + x y = x) Odvozené zákony dvojí negace absorbce negace (a + ā b = a + b) de Morgan

18 Minimalizace logických funkcí hledání nejjednoduššího možného zápisu funkce algebraická minimalizace riskantní minimalizace pomocí Karnaughovy mapy spojování součinů, které se liší v jedné proměnné systematická a jednoduchá metoda Příklad pro 3 vstupní proměnné: C C C C C Y * * * * * * * * * * = C C Y * * * * * * + = + + = C Y * + =

19 Karnaughova mapa Příklad pro 3 vstupní proměnné: C C C C C Y * * * * * * * * * * = C C Y * + =

20 Karnaughova mapa Příklad pro 4 vstupní proměnné: D C

21 Realizace logických funkcí kombinačními obvody pomocí základních hradel (NND) pomocí multiplexoru n adresových vstupů 2 n informačních (datových) vstupů 1 výstup pomocí dekodéru n adresových vstupů 2 n výstupů Příklad: Y = * C + *

22 Multiplexor n adresových vstupů 2 n informačních (datových) vstupů 1 výstup

23 n adresových vstupů 2 n výstupů Dekodér

24 Sekvenční obvody obvody, jejichž výstup je určen: hodnotou vstupů vnitřním, předchozím stavem (závisí na historii vstupů) vzniknou pomocí zpětné vazby v kombinačním obvodu mohou být řízeny hodinovým signálem synchronní obvody reagují na vstupní signály jen v okamžicích, kdy je aktivní hodinový signál asynchronní obvody reagují na všechny změny vstupního signálu

25 Klopné obvody nejjednodušší sekvenční obvody RS klopný obvod funkce je-li aktivní vstup S (set), na výstup se zapíše log 1 je-li aktivní vstup R (reset), na výstup se zapíše log 0 není-li žádný ze vstupů aktivní, zůstane na výstupu předchozí stav oba vstupy aktivní (zakázaný stav) neplatí inverze výstupního signálu, tento stav může vést k nedefinovanému následujícímu stavu

26 RS klopný obvod asynchronní schéma zapojení pravdivostní tabulka R n S n Q n 0 0 Q n ?

27 RS klopný obvod synchronní Obvod reaguje na vstupy R a S pouze tehdy, je-li hodinový vstup (C, Clk, T) nastaven do log 1. schéma zapojení Příklad: železniční přejezd Z K Q n = Z * K + Z * Qn 1

28 D klopný obvod hladinový je vytvořen z RS klopného obvodu signál R je vytvořen ze signálu S pomocí invertoru v případě, že je na hodinovém vstupu nastavena log 1, zapíše se vstupní hodnota ze vstupu D na výstup D klopný obvod je základním prvkem statických pamětí D C Q n 0 0 Q n Q n

29

30 JK klopný obvod řízen hodinovým signálem nemá žádný zakázaný stav funkce: jestliže na oba vstupy přivedeme log 1, obvod se překlopí do opačného stavu, než byl před příchodem hodinového signálu vstup J (S set) nastavuje výstup na log 1 vstup K (R reset) nastavuje výstup na log 0 jestliže na oba vstupy přivedeme log 0, po příchodu hodinového signálu zůstává na výstupu předchozí stav jestliže není přiveden hodinový signál, zůstává na výstupu předchozí stav (pro jakoukoli vstupní kombinaci)

31 JK klopný obvod J K C Q n Q n Q n-1 X X 0 Q n-1 úprava JK klopného obvodu na D klopný obvod: vstup K negace vstupního signálu vstup J vstupní signál (D)

32 Integrované klopné obvody

33 Sekvenční obvody (vyšší konstrukční celky s klopnými obvody) registry čítače paměti

34 Registr n bitový registr vstupy: n datových vstupů, hodinový vstup výstupy: n výstupů funkce: hodinový impuls zajistí přenos hodnot z datových vstupů na výstupy lze realizovat D klopnými obvody i JK klopnými obvody použití: k přenosu informace mezi dvěma kombinačními obvody

35

36 Posuvný registr posuvný n bitový registr vstupy: 1 datový vstup, 1 hodinový vstup výstupy: n výstupů funkce: hodinový impuls zajistí přenos hodnot z datových vstupů na příslušné výstupy, posune informace o jedno místo vpravo nebo vlevo lze realizovat D klopnými obvody i JK klopnými obvody použití: binární násobení a dělení

37

38 Čítač n bitový čítač vstupy: hodinový vstup výstupy: n výstupů funkce: zjišťuje počet došlých hodinových impulsů, každý hodinový impuls zvýší (sníží) hodnotu na vstupu o jedničku lze realizovat D klopnými obvody i JK klopnými obvody použití: základní konstrukční prvek pro sekvenční automaty, tvoří jádro řadiče, měření kmitočtu

39

40 Paměť slouží k uchování informací (v bitech), které jsou ukládány do buněk, kterým je přiřazena určitá adresa Rozdělení pamětí podle uchování obsahu: paměti, které po odpojení napájecího napětí ztrácí svůj obsah paměti, které jsou nezávislé na napájecím napětí Rozdělení pamětí podle přístupu k jednotlivým buňkám: RM paměť s libovolným přístupen SM paměť se sekvenčním přístupem Podle možnosti změny obsahu: RWM paměť s možností opakovatelné změny umožňuje čtení i zápis (RWM-RM se nevžilo) ROM paměť pouze pro čtení, je naprogramována při výrobě (ROM-RM se nevžilo)

41 Paměť RM 7489 umožňuje adresovat čtyřbitová slova na 16 čtyřbitových adresách (kapacita je 64 bitů)

42 /D a D/ převodníky uplatnění: tam, kde je třeba analogový signál číslicově zpracovat tam, kde je třeba analogový signál z číslicového vytvořit umožňují: transformaci číslicově vyjádřené informace na analogovou a naopak propojení mezi analogovou a číslicovou částí řídícího systému většina veličin má analogový charakter: teplota, tlak, pohyb, napětí...

43 nalogověčíslicové převodníky DC analog/digital convertor zajišťují převod vstupního analogového signálu na výstupní číslicový signál vstup: např. napětí výstup: datové slovo o stanoveném počtu bitů převod spojitého analogového signálu na diskrétní číslicový tvar se provádí ve dvou krocích: periodické vzorkování získávání hodnot analogového signálu v pravidelných intervalech kvantizace přiřazuje amplitudám jednotlivých vzorků diskrétní hodnotu (výstupní datové slovo)

44 Digitalizace signálu vzorkování vyměření časových okamžiků odečtu analogové veličiny podmínka pro vzorkovací kmitočet f s : Nyquistův teorém: f s 2 f x max vzorkovací impulsy dostatečně úzké kvantizace přiřazení diskrétních hodnot jednotlivým vzorků nejčastější lineární kvantizace

45 Vzorkování rozdělení časové osy na rovnoměrné úseky v každém úseku se odebere jeden vzorek

46 liasing vznikne v případě, že není dodržen Shannonův teorém příklady

47 Kvantizace rozdělení svislé osy na rovnoměrné úseky počet kvantizačních úrovní: 2 n n počet bitů (16) kvantizační šum velikosti chyb od jednotlivých vzorků vynesené do grafu velikost šumu v d (odstup signálu od šumu SNR) poměr užitečného signálu ku šumu (6,02*n): u 16bitového kvantování: 96,32 d u 24bitového kvantování: 144,48 d

48 Vlastnosti /D převodníků rozlišovací schopnost (kvantizační krok Q) je určena počtem úrovní (n počet bitů) 1 Q = 2 n 1 rozlišení převodníku kvantizační chyba polovina hodnoty změny napětí při změně výstupu o 1 LS vztah mezi vstupním napětím a výstupním slovem U N U U max n U 1 max min vst = výst + n 2 2 U 1 min U min

49 Převodní charakteristika /D převodníku

50 Příklad Jaké je výstupní slovo tříbitového /D převodníku, je-li jeho rozsah 0 5 V a na jeho vstupu jsou 2 V? U N U U 1 max min vst = výst + n 2 U min

51 Číslicově analogové převodníky DC digital/analog convertor zajišťují převod vstupníčíslicové informace (datového slova) na výstupní analogový signál vstup: datové slovo o stanoveném počtu bitů výstup: analogový signál (např. napětí) výstupní signál je schodovitý diskrétní úrovně

52 Vlastnosti DC kvantizační chyba chyba způsobená diskrétními úrovněmi výstupního signálu rozlišovací schopnost (kvantizační krok Q), n je počet bitů vstupního datového slova 1 Q = 2 n 1 rozlišení převodníku U max U min n 2 1 vztah mezi výstupním napětím a vstupním slovem U U U 1 max min výst = Nvst + n 2 U min

53 Převodní charakteristika D/ převodníku

54 Příklad Jaké je výstupní analogové napětí osmibitového D/ převodníku, je-li jeho rozsah ±5 V a na jeho vstupu je binárníčíslo ? U U U 1 max min výst = Nvst + n 2 U min

55 Typy D/ převodníků DC s váhovou strukturou odporové sítě

56 DC s váhovou strukturou odporové sítě součtový operační zesilovač referenční zdroj vstupního napětí odpory nutno volit s různou přesností rychlý ale méně přesný U výst = U ref R R 2 n i= 0 2 i i

57 Typy D/ převodníků DC s příčkovou strukturou odporové sítě

58 DC s příčkovou strukturou odporové sítě elegantní řešení vícebitových převodníků pouze dva typy rezistorů převod součtu proudů na napětí U výst = U ref R R 2 n i= 0 2 i i

59 Typy /D převodníků Paralelní DC flash convertor

60 Vlastnosti flash convertor n-bitový převodník: 2 n 1 komparátorů velký počet výstupů pro vícebitové převodníky využití: rychlé měřící přístroje, osciloskopy

61 Typy /D převodníků DC s postupnou aproximací

62 Funkce převodníku s postupnou aproximací registr (SR) nastaven na: výstup DC je porovnáván s hodnotou analog input výsledek komparace se zapíše na první bit (X) 2. krok: X krok: XX00000 osmibitový převodník: 8 kroků

63 Příklad Osmibitový /D převodník, rozsah 0 5 V, na jeho vstupu je 2,67 V. 6,000 5,000 5,020 rozsah 0-10V 4,000 3,000 2,510 2,000 1,255 1,000 0,000 0,627 0,314 0,157 0,078 0,039 D7 D6 D5 D4 D3 D2 D1 D0

64

65

66 Vypočítejte odpory rezistorů R 1 a R 2 tak, aby tranzistor v zesilovači pracoval v zadaném pracovním bodě. Úlohu řešte nejprve obecně. R 2 R 1 R 3 R E + U N 0 U N = 9 V I C = 6 m U GE = 2 V U CE = 3 V R E = 150 Ω R 3 = 1 kω

67 Realizujte pomocí logických obvodů NND funkci zadanou pravdivostní tabulkou. Funkci nejdříve minimalizujte. C Y

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Binární logika Osnova kurzu

Binární logika Osnova kurzu Osnova kurzu 1) Základní pojmy; algoritmizace úlohy 2) Teorie logického řízení 3) Fuzzy logika 4) Algebra blokových schémat 5) Vlastnosti členů regulačních obvodů 6) Vlastnosti regulátorů 7) Stabilita

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií Jan Outrata KATEDRA INFORMATIKY UNIVERZITA PALACKÉHO V OLOMOUCI přednášky Binární logika Jan Outrata (Univerzita Palackého v Olomouci) Úvod do informačních technologií

Více

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí

Booleova algebra. ZákonyBooleovy algebry Vyjádření logických funkcí Booleova algebra ZákonyBooleovy algebry Vyjádření logických funkcí pravdivostní tabulka logický výraz seznam indexů vstupních písmen mapa vícerozměrná krychle 30-1-13 O. Novák 1 Booleova algebra Booleova

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY KOMBINAČNÍ LOGICKÉ OBVODY Použité zdroje: http://cs.wikipedia.org/wiki/logická_funkce http://www.ibiblio.org http://martin.feld.cvut.cz/~kuenzel/x13ups/log.jpg http://www.mikroelektro.utb.cz http://www.elearn.vsb.cz/archivcd/fs/zaut/skripta_text.pdf

Více

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry

18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry 18A - PRINCIPY ČÍSLICOVÝCH MĚŘICÍCH PŘÍSTROJŮ Voltmetry, A/D převodníky - principy, vlastnosti, Kmitoměry, čítače, fázoměry, Q- metry Digitální voltmetry Základním obvodem digitálních voltmetrů je A/D

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace.

12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. 12. Booleova algebra, logická funkce určitá a neurčitá, realizace logických funkcí, binární kódy pro algebraické operace. Logická proměnná - proměnná nesoucí logickou hodnotu Logická funkce - funkce přiřazující

Více

2. LOGICKÉ OBVODY. Kombinační logické obvody

2. LOGICKÉ OBVODY. Kombinační logické obvody Hardware počítačů Doc.Ing. Vlastimil Jáneš, CSc, K620, FD ČVUT E-mail: janes@fd.cvut.cz Informace a materiály ke stažení na WWW: http://www.fd.cvut.cz/personal/janes/hwpocitacu/hw.html 2. LOGICKÉ OBVODY

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony.

Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Booleovská algebra. Booleovské binární a unární funkce. Základní zákony. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky a kartografie, Přírodovědecká fakulta UK. Tomáš Bayer bayertom@natur.cuni.cz

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

2. ÚVOD DO OVLÁDACÍ TECHNIKY

2. ÚVOD DO OVLÁDACÍ TECHNIKY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 2. ÚVOD DO OVLÁDACÍ TECHNIKY OVLÁDACÍ TECHNIKA A LOGICKÉ ŘÍZENÍ 2.1.5 LOGICKÉ FUNKCE Cíle: Po prostudování

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Analogově-číslicové převodníky ( A/D )

Analogově-číslicové převodníky ( A/D ) Analogově-číslicové převodníky ( A/D ) Převodníky analogového signálu v číslicový (zkráceně převodník N/ Č nebo A/D jsou povětšině založeny buď na principu transformace napětí na jinou fyzikální veličinu

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Analogově číslicové převodníky

Analogově číslicové převodníky Verze 1 Analogově číslicové převodníky Doplněná inovovaná přednáška Zpracoval: Vladimír Michna Pracoviště: Katedra textilních a jednoúčelových strojů TUL Tento materiál vznikl jako součást projektu In-TECH

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné N4444 Měřicí a řídicí technika 22/23 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automat Matematický základ logického řízení

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY

DIGITÁLN LNÍ OBVODY A MIKROPROCESORY 1. ZÁKLADNÍ POJMY DIGITÁLNÍ TECHNIKY DIGITÁLN LNÍ OBVODY A MIKROPROCESORY BDOM Prof. Ing. Radimír Vrba, CSc. Doc. Ing. Pavel Legát, CSc. Ing. Radek Kuchta Ing. Břetislav Mikel Ústav mikroelektroniky FEKT VUT @feec.vutbr.cz

Více

Title: IX 6 11:27 (1 of 6)

Title: IX 6 11:27 (1 of 6) PŘEVODNÍKY ANALOGOVÝCH A ČÍSLICOVÝCH SIGNÁLŮ Převodníky umožňující transformaci číslicově vyjádřené informace na analogové napětí a naopak zaujímají v řídícím systému klíčové postavení. Značná část měřených

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu

VÝUKOVÝ MATERIÁL. Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632 Číslo projektu VÝUKOVÝ MATERIÁL Identifikační údaje školy Vyšší odborná škola a Střední škola, Varnsdorf, příspěvková organizace Bratislavská 2166, 407 47 Varnsdorf, IČO: 18383874 www.vosassvdf.cz, tel. +420412372632

Více

Seznam témat z předmětu ELEKTRONIKA. povinná zkouška pro obor: L/01 Mechanik elektrotechnik. školní rok 2018/2019

Seznam témat z předmětu ELEKTRONIKA. povinná zkouška pro obor: L/01 Mechanik elektrotechnik. školní rok 2018/2019 Seznam témat z předmětu ELEKTRONIKA povinná zkouška pro obor: 26-41-L/01 Mechanik elektrotechnik školní rok 2018/2019 1. Složené obvody RC, RLC a) Sériový rezonanční obvod (fázorové diagramy, rezonanční

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Minimalizace logické funkce

Minimalizace logické funkce VYSOKÉ UČENÍ TEHNIKÉ V RNĚ FKULT ELEKTROTEHNIKY KOMUNIKČNÍH TEHNOLOGIÍ Ústav mikroelektroniky LORTORNÍ VIČENÍ Z PŘEDMĚTU Digitální integrované obvody Minimalizace logické funkce Michal Krajíček Martin

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

VY_32_INOVACE_E 15 03

VY_32_INOVACE_E 15 03 Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu

Binární data. Číslicový systém. Binární data. Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu 5. Obvody pro číslicové zpracování signálů 1 Číslicový systém počítač v reálném prostředí Klávesnice Snímače polohy, dotykové displeje, myš Digitalizovaná data odvozená z analogového signálu Binární data

Více

Základy číslicové techniky. 2 + 1 z, zk

Základy číslicové techniky. 2 + 1 z, zk Základy číslicové techniky 2 + 1 z, zk Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Tomáš Musil, Ph.D., K620 e-mail: musil@asix.cz K508, 5. patro, laboratoř,

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Technická kybernetika. Obsah. Principy zobrazení, sběru a uchování dat. Měřicí řetězec. Principy zobrazení, sběru a uchování dat

Technická kybernetika. Obsah. Principy zobrazení, sběru a uchování dat. Měřicí řetězec. Principy zobrazení, sběru a uchování dat Akademický rok 2016/2017 Připravil: Radim Farana Technická kybernetika Principy zobrazení, sběru a uchování dat 2 Obsah Principy zobrazení, sběru a uchování dat strana 3 Snímač Měřicí řetězec Měřicí obvod

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/ Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView Logické stavy, číselné systémy, typy logických

Více

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody

P4 LOGICKÉ OBVODY. I. Kombinační Logické obvody P4 LOGICKÉ OBVODY I. Kombinační Logické obvody I. a) Základy logiky Zákony Booleovy algebry 1. Komutativní zákon duální forma a + b = b + a a. b = b. a 2. Asociativní zákon (a + b) + c = a + (b + c) (a.

Více

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky.

Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Booleovská algebra. Pravdivostní tabulka. Karnaughova mapa. Booleovské n-krychle. Základní zákony. Unární a binární funkce. Podmínky. Tomáš Bayer bayertom@natur.cuni.cz Katedra aplikované geoinformatiky

Více

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor MĚŘENÍ Laboratorní cvičení z měření část 3-6-1 Teoretický rozbor Výukový materiál Číslo projektu: CZ.1.07/1.5.00/34.0093 Šablona: III/2 Inovace a zkvalitnění výuky prostřednictvím ICT Sada: 1 Číslo materiálu:

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

mové techniky budov Osnova Základy logického Druhy signálů

mové techniky budov Osnova Základy logického Druhy signálů Základy Systémov mové techniky budov Základy logického řízení Ing. Jan Vaňuš N 716 tel.: 59 699 1509 email: jan.vanus vanus@vsb.czvsb.cz http://sweb sweb.cz/jan.vanus Druhy signálů, Osnova, základní dělení

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Logické proměnné a logické funkce

Logické proměnné a logické funkce Booleova algebra Logické proměnné a logické funkce Logická proměnná je veličina, která může nabývat pouze dvou hodnot, označených 0 a I (tedy dvojková proměnná) a nemůže se spojitě měnit Logická funkce

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Schmittův klopný obvod

Schmittův klopný obvod Schmittův klopný obvod Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 Malina, V.: Digitální technika, KOOP, České Budějovice 1996 http://pcbheaven.com/wikipages/the_schmitt_trigger

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MODERNIZCE VÝUK PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod XOR neboli EXLUSIV OR Obor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: počítačové

Více

Snímání biologických signálů. A6M31LET Lékařská technika Zdeněk Horčík Katedra teorie obvodů

Snímání biologických signálů. A6M31LET Lékařská technika Zdeněk Horčík Katedra teorie obvodů Snímání biologických signálů A6M31LET Lékařská technika Zdeněk Horčík Katedra teorie obvodů horcik@fel.cvut.cz Snímání biologických signálů problém: převést co nejvěrněji spojitý signál do číslicové podoby

Více

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_04_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Náev škol Autor Tematická oblast Ročník CZ..7/.5./34.58 VY_32_INOVACE_CTE_2.MA_4_Aritmetické operace v binární soustavě Střední odborná škola a Střední odborné učiliště,

Více

Nalezněte pracovní bod fotodiody pracující ve fotovoltaickem režimu. Zadáno R = 100 kω, φ = 5mW/cm 2.

Nalezněte pracovní bod fotodiody pracující ve fotovoltaickem režimu. Zadáno R = 100 kω, φ = 5mW/cm 2. Nalezněte pracovní bod fotodiody pracující ve fotovoltaickem režimu. Zadáno R 00 kω, φ 5mW/cm 2. Fotovoltaický režim: fotodioda pracuje jako zdroj (s paralelně zapojeným odporem-zátěží). Obvod je popsán

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA ELEKTROTECHNIKY A INFORMATIKY. Předmět: MODULOVANÉ SIGNÁLY. Semestrální projekt

VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA ELEKTROTECHNIKY A INFORMATIKY. Předmět: MODULOVANÉ SIGNÁLY. Semestrální projekt VYSOKÁ ŠKOLA BÁŇSKÁ TECHNICKÁ UNIVERZITA OSTRAVA FAKULTA ELEKTROTECHNIKY A INFORMATIKY Předmět: MODULOVANÉ SIGNÁLY Semestrální projekt VARIANTA 40 KOMPARAČNÍ A/D PŘEVODNÍK Vytvořil: Login: Skupina: Tomáš

Více

Velmi zjednodušený úvod

Velmi zjednodušený úvod Velmi zjednodušený úvod Výroková logika: A, B, C - výroky. Booleova algebra Výroky nabývají hodnot Pravdivý a Nepravdivý. C = A B A B Booleova algebra: a, b, c - logické (Booleovské) proměnné. Logické

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE Úvod Účelem úlohy je seznámení s funkcemi a zapojeními několika sekvenčních logických obvodů, s tzv. bistabilními klopnými obvody a čítači. U logických obvodů se často

Více

Logické obvody. Přednáška 6. Prof. RNDr. Peter Mikulecký, PhD.

Logické obvody. Přednáška 6. Prof. RNDr. Peter Mikulecký, PhD. Logické obvody Přednáška 6 Prof. RNDr. Peter Mikulecký, PhD. Logické obvody Logické obvody jsou obvody, které slouží k realizaci logických funkcí a jsou základem všech číslicových systémů. Pracují s diskrétními

Více

A/D převodníky, D/A převodníky, modulace

A/D převodníky, D/A převodníky, modulace A/D převodníky, D/A převodníky, modulace A/D převodníky převádí analogový (spojitý) signál na signál diskrétní z důvodu umožnění zpracování analogového signálu na číslicových počítačích - z důvodu konečné

Více

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/

Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/ Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol CZ.1.07/1.5.00/34.0452 Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0452 OV_2_51_Posuvné registry použití Název

Více

Základy číslicové techniky z, zk

Základy číslicové techniky z, zk Základy číslicové techniky 2 + 1 z, zk Doc. Ing. Vlastimil Jáneš, CSc., K620 e-mail: janes@fd.cvut.cz K508, 5. patro, laboratoř, 2 2435 9555 Ing. Vít Fábera, K614 e-mail: fabera@fd.cvut.cz K508, 5. patro,

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Tel-30 Nabíjení kapacitoru konstantním proudem [V(C1), I(C1)] Start: Transient Tranzientní analýza ukazuje, jaké napětí vytvoří proud 5mA za 4ms na ka

Tel-30 Nabíjení kapacitoru konstantním proudem [V(C1), I(C1)] Start: Transient Tranzientní analýza ukazuje, jaké napětí vytvoří proud 5mA za 4ms na ka Tel-10 Suma proudů v uzlu (1. Kirchhofův zákon) Posuvným ovladačem ohmické hodnoty rezistoru se mění proud v uzlu, suma platí pro každou hodnotu rezistoru. Tel-20 Suma napětí podél smyčky (2. Kirchhofův

Více

- DAC - Úvod A/D převodník převádějí analogové (spojité) veličiny na digitální (nespojitou) informaci. Základní zapojení převodníku ukazuje obr.

- DAC - Úvod A/D převodník převádějí analogové (spojité) veličiny na digitální (nespojitou) informaci. Základní zapojení převodníku ukazuje obr. - DAC - Úvod A/D převodník převádějí analogové (spojité) veličiny na digitální (nespojitou) informaci. Základní zapojení převodníku ukazuje obr. Řada zdrojů informace vytváří signál v analogové formě,

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě:

Číselné soustavy: Druhy soustav: Počítání ve dvojkové soustavě: Přednášející : Ing. Petr Haberzettl Zápočet : práce na doma hlavně umět vysvětlit Ze 120 lidí udělá maximálně 25 :D Literatura : Frištacký - Logické systémy Číselné soustavy: Nevyužíváme 10 Druhy soustav:

Více

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

PROTOKOL O LABORATORNÍM CVIČENÍ

PROTOKOL O LABORATORNÍM CVIČENÍ STŘENÍ PRŮMYSLOVÁ ŠKOL V ČESKÝH UĚJOVIÍH, UKELSKÁ 3 ÚLOH: ekodér binárního kódu na sedmisegmentový displej 0.. Zadání PROTOKOL O LORTORNÍM VIČENÍ Navrhněte a realizujte dekodér z binárního kódu na sedmisegmentovku.

Více

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí.

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí. Základní pojmy IT, číselné soustavy, logické funkce Základní pojmy Počítač: Stroj na zpracování informací Informace: 1. data, která se strojově zpracovávají 2. vše co nám nebo něčemu podává (popř. předává)

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

3. D/A a A/D převodníky

3. D/A a A/D převodníky 3. D/A a A/D převodníky 3.1 D/A převodníky Digitálně/analogové (D/A) převodníky slouží k převodu číslicově vyjádřené hodnoty (např. v úrovních TTL) ve dvojkové soustavě na hodnotu nějaké analogové veličiny.

Více

Univerzita Tomáše Bati ve Zlíně

Univerzita Tomáše Bati ve Zlíně Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Struktura logických obvodů Přednáška č. 10 Milan Adámek adamek@ft.utb.cz U5 A711 +420576035251 Struktura logických obvodů 1 Struktura logických

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

OPERA Č NÍ ZESILOVA Č E

OPERA Č NÍ ZESILOVA Č E OPERAČNÍ ZESILOVAČE OPERAČNÍ ZESILOVAČE Z NÁZVU SE DÁ USOUDIT, ŽE SE JEDNÁ O ZESILOVAČ POUŽÍVANÝ K NĚJAKÝM OPERACÍM. PŮVODNÍ URČENÍ SE TÝKALO ANALOGOVÝCH POČÍTAČŮ, KDE OPERAČNÍ ZESILOVAČ DOKÁZAL USKUTEČNIT

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Základní principy přeměny analogového signálu na digitální

Základní principy přeměny analogového signálu na digitální Základní y přeměny analogového signálu na digitální Pro přenos analogového signálu digitálním systémem, je potřeba analogový signál digitalizovat. Digitalizace je uskutečňována pomocí A/D převodníků. V

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Kapitola 1. Signály a systémy. 1.1 Klasifikace signálů

Kapitola 1. Signály a systémy. 1.1 Klasifikace signálů Kapitola 1 Signály a systémy 1.1 Klasifikace signálů Signál představuje fyzikální vyjádření informace, obvykle ve formě okamžitých hodnot určité fyzikální veličiny, která je funkcí jedné nebo více nezávisle

Více

25. DIGITÁLNÍ TELEVIZNÍ SIGNÁL A KABELOVÁ TELEVIZE

25. DIGITÁLNÍ TELEVIZNÍ SIGNÁL A KABELOVÁ TELEVIZE 25. DIGITÁLNÍ TELEVIZNÍ SIGNÁL A KABELOVÁ TELEVIZE Digitalizace obrazu a komprese dat. Uveďte bitovou rychlost nekomprimovaného číslicového TV signálu a jakou šířku vysílacího pásma by s dolním částečně

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: automatizační technika 1. Senzory 2. S7-1200, základní pojmy 3. S7-1200, bitové instrukce 4. S7-1200, časovače, čítače 5. Vizualizační systémy 6. S7-1200,

Více

Kombinační automaty (logické obvody)

Kombinační automaty (logické obvody) Kombinační automaty (logické obvody) o Název: VY_32_INOVACE_01_CIT_01_Prehled_schematickych_znacek.pptx o Téma: Přehled schématických značek o Název: VY_32_INOVACE_01_CIT_02_Prehled_schematickych_znacek_test.pptx

Více

Téma 27. 1 Analogo Číslicové Převodníky AČP. 1.1 AČP s postupnou aproximací

Téma 27. 1 Analogo Číslicové Převodníky AČP. 1.1 AČP s postupnou aproximací Téma 7 Jan Bednář bednaj1@fel.cvut.cz digitalizace je postup vzorkování v čase, následného kvantování v úrovni a kódování vznik periodického frekvenčního spektra signálu, kde se uplatňuje kvantizační šum

Více