2. Entity, Architecture, Process

Rozměr: px
Začít zobrazení ze stránky:

Download "2. Entity, Architecture, Process"

Transkript

1 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš Bečvář, Martin Novotný,

2 Lecture Outline Entity Architecture Process 2

3 VHDL language constructs Entity Architecture Process Configuration Package Library 3

4 Entity, Architecture 4

5 Comments Everything after -- is a comment Comments end with a new line This is a comment entity HALFADD is -- this is also a comment 5

6 Describes interface only Entity No definition of behavior or internal structure entity MULTIPLEXER is port( A,B,SEL : in bit; Y : out bit ); end MULTIPLEXER; A B SEL SELNON INV AND1 AND2 MULTIPLEXER ASEL OR1 BSEL Y Note: ENTITY, IS, PORT, IN, OUT and END are reserved words of VHDL BIT is a data type VHDL is strictly typed language. 6

7 Entity entity MULTIPLEXER is port( A,B,SEL : in bit; Y : out bit ); end MULTIPLEXER; bit: values 0 and 1 only MULTIPLEXER A AND1 ASEL SELNON INV OR1 Y B SEL AND2 BSEL library IEEE; use IEEE.std_logic_1164.all; entity MULTIPLEXER is port( A,B,SEL : in std_logic; Y : out std_logic ); end MULTIPLEXER; std_logic: values 0, 1, Z, X, L, H, W, U, - Defined in package std_logic_1164 7

8 Architecture Describes behavior and/or internal structure of entity Must be associated with a specific entity Single entity can have many architectures Architectural constructs: Processes (sequential programs communicating using signals) Component instantiations (represent structure) Concurrent statements (dataflow description) Architecture is a concurrent environment! 8

9 Architecture architecture MUX_BODY of MULTIPLEXER is -- declarative part: signal SELNON, ASEL, BSEL : std_logic; Entity name Internal signals -- declarations of: -- internal signals -- components -- constants, data types, A SELNON AND1 MULTIPLEXER ASEL -- executive part: INV OR1 Y B -- processes SEL AND2 BSEL -- component instantiations -- end MUX_BODY; 9

10 Processes 10

11 Process Section containing sequential statements Exists inside an architecture Multiple processes interact concurrently connected by signals CLKGENPR : process CLK <= 0 ; wait for 10 ns; CLK <= 1 ; wait for 10 ns; end process CLKGENPR; DFFPR : process (CLK, RESET) if RESET= 1 then Q <= 0 ; elsif CLK EVENT and CLK= 1 then Q <= D; end if; end process DFFPR; Process CLKGENP interacts with process DFFPR using signal CLK. 11

12 Process VHDL is a concurrent language! => processes run concurrently So-called cooperative multitasking (UNIX: preemptive multitasking) processes must suspend themselves Each process must contain at least one wait statement (note: sensitivity list is a special case of wait statement) Process itself is an infinite loop ABC : process A <= 0 ; wait for 10 ns; B <= 1 ; wait on C, D; Y <= C and D; B <= 0 ; wait until A = 1 ; X <= C; end process ABC; Process is suspended for 10 ns (of simulation time) (in the mean time other processes are run) Process is suspended until an event on signal C or signal D occurs Process is suspended until an event on signal A occurs and condition is satisfied When the end of the process is reached, the process jumps to the ning 12

13 Processes in the architecture architecture MUX_BODY of MULTIPLEXER is signal SELNON, ASEL, BSEL : std_logic; INV : process A SELNON <= not(sel); wait on SEL; end process; SELNON INV AND1 MULTIPLEXER ASEL OR1 Y AND1 : process ASEL <= A and SELNON; wait on A, SELNON; end process; B SEL AND2 BSEL -- processes AND2, OR1 follow end architecture MUX_BODY; 13

14 Process with a sensitivity list MUXPR : process if SEL = 0 then Y <= A; else Y <= B; end if; wait on SEL, A, B; end process MUXPR; MUXPR : process (SEL, A, B) if SEL = 0 then Y <= A; else Y <= B; end if; end process MUXPR; Process with sensitivity list must not contain any WAIT statements. Typical usage: synthesizable RTL code. 14

15 Process with wait statements clkgenpr: process clk<= 0 ; wait for 10 ns; clk<= 1 ; wait for 10 ns; end process clkgenpr; WAIT statements define points where process execution suspends. More powerful Typical usage: testbenches (behavioral description of models in verification environment). Can be used for describing circuit on algorithm level. (commonly called behavioral level). 15

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

14. Složitější konstrukce

14. Složitější konstrukce Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL konstanty, signály a proměnné Jazyk VHDL paralelní a sekvenční doména Kurz A0B38FPGA

Více

Chapter 7: Process Synchronization

Chapter 7: Process Synchronization Chapter 7: Process Synchronization Background The Critical-Section Problem Synchronization Hardware Semaphores Classical Problems of Synchronization Critical Regions Monitors Synchronization in Solaris

Více

Sčítačky Válcový posouvač. Demonstrační cvičení 6

Sčítačky Válcový posouvač. Demonstrační cvičení 6 Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Násobičky, Boothovo překódování. Demonstrační cvičení 7

Násobičky, Boothovo překódování. Demonstrační cvičení 7 Násobičky, Boothovo překódování INP Demonstrační cvičení 7 Obsah Princip násobení Sekvenční a kombinační násobička Kombinační násobičky ve VHDL Násobení se znaménkem (FX) Boothovo překódování, VHDL Násobení

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Introduction to MS Dynamics NAV

Introduction to MS Dynamics NAV Introduction to MS Dynamics NAV (Item Charges) Ing.J.Skorkovský,CSc. MASARYK UNIVERSITY BRNO, Czech Republic Faculty of economics and business administration Department of corporate economy Item Charges

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Základní znaky. - Ve srovnání se Spice jsou velmi složité a vyžadují dlouhou dobu na plné osvojení. - Velmi nákladné simulační programy.

Základní znaky. - Ve srovnání se Spice jsou velmi složité a vyžadují dlouhou dobu na plné osvojení. - Velmi nákladné simulační programy. VHDL-AMS Počátek jazyků HDL sahá do šedesátých let. V průběhu doby vznikla celá řada jazyků FAS (Anacad 1988), SpetreHDL (Cadence 94), MAST (Analogy 1986) a jiné. V současné době hrají největší roli jazyky

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Mechanika Teplice, výrobní družstvo, závod Děčín TACHOGRAFY. Číslo Servisní Informace Mechanika: 5-2013

Mechanika Teplice, výrobní družstvo, závod Děčín TACHOGRAFY. Číslo Servisní Informace Mechanika: 5-2013 Mechanika Teplice, výrobní družstvo, závod Děčín TACHOGRAFY Servisní Informace Datum vydání: 20.2.2013 Určeno pro : AMS, registrované subj.pro montáž st.měř. Na základě SI VDO č./datum: Není Mechanika

Více

GUIDELINES FOR CONNECTION TO FTP SERVER TO TRANSFER PRINTING DATA

GUIDELINES FOR CONNECTION TO FTP SERVER TO TRANSFER PRINTING DATA GUIDELINES FOR CONNECTION TO FTP SERVER TO TRANSFER PRINTING DATA What is an FTP client and how to use it? FTP (File transport protocol) - A protocol used to transfer your printing data files to the MAFRAPRINT

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Gymnázium, Brno, Slovanské nám. 7 WORKBOOK. Mathematics. Teacher: Student:

Gymnázium, Brno, Slovanské nám. 7 WORKBOOK.   Mathematics. Teacher: Student: WORKBOOK Subject: Teacher: Student: Mathematics.... School year:../ Conic section The conic sections are the nondegenerate curves generated by the intersections of a plane with one or two nappes of a cone.

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Úvod do datového a procesního modelování pomocí CASE Erwin a BPwin

Úvod do datového a procesního modelování pomocí CASE Erwin a BPwin Úvod do datového a procesního modelování pomocí CASE Erwin a BPwin (nově AllFusion Data Modeller a Process Modeller ) Doc. Ing. B. Miniberger,CSc. BIVŠ Praha 2009 Tvorba datového modelu Identifikace entit

Více

Pokročilé využití jazyka VHDL. Pavel Lafata

Pokročilé využití jazyka VHDL. Pavel Lafata Pokročilé využití jazyka VHDL Pavel Lafata Autor: Pavel Lafata Název díla: Pokročilé využití jazyka VHDL Zpracoval(a): České vysoké učení technické v Praze Fakulta elektrotechnická Kontaktní adresa: Technická

Více

Digitální technika. Jazyk VHDL, základy návrhu. Ing. Jakub Št astný, Ph.D. 1

Digitální technika. Jazyk VHDL, základy návrhu. Ing. Jakub Št astný, Ph.D. 1 Digitální technika Jazyk VHDL, základy návrhu Ing. Jakub Št astný, Ph.D. 1 1 stastnj1@seznam.cz FPGA laboratory Department of Circuit Theory, FEE CTU Prague Technická 2, Praha 6, 166 27 http://amber.feld.cvut.cz/fpga

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Czech Republic. EDUCAnet. Střední odborná škola Pardubice, s.r.o.

Czech Republic. EDUCAnet. Střední odborná škola Pardubice, s.r.o. Czech Republic EDUCAnet Střední odborná škola Pardubice, s.r.o. ACCESS TO MODERN TECHNOLOGIES Do modern technologies influence our behavior? Of course in positive and negative way as well Modern technologies

Více

USING VIDEO IN PRE-SET AND IN-SET TEACHER TRAINING

USING VIDEO IN PRE-SET AND IN-SET TEACHER TRAINING USING VIDEO IN PRE-SET AND IN-SET TEACHER TRAINING Eva Minaříková Institute for Research in School Education, Faculty of Education, Masaryk University Structure of the presentation What can we as teachers

Více

DATA SHEET. BC516 PNP Darlington transistor. technický list DISCRETE SEMICONDUCTORS Apr 23. Product specification Supersedes data of 1997 Apr 16

DATA SHEET. BC516 PNP Darlington transistor. technický list DISCRETE SEMICONDUCTORS Apr 23. Product specification Supersedes data of 1997 Apr 16 zákaznická linka: 840 50 60 70 DISCRETE SEMICONDUCTORS DATA SHEET book, halfpage M3D186 Supersedes data of 1997 Apr 16 1999 Apr 23 str 1 Dodavatel: GM electronic, spol. s r.o., Křižíkova 77, 186 00 Praha

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Tento materiál byl vytvořen v rámci projektu Operačního programu Vzdělávání pro konkurenceschopnost.

Tento materiál byl vytvořen v rámci projektu Operačního programu Vzdělávání pro konkurenceschopnost. Tento materiál byl vytvořen v rámci projektu Operačního programu Vzdělávání pro konkurenceschopnost. Projekt MŠMT ČR Číslo projektu Název projektu školy Klíčová aktivita III/2 EU PENÍZE ŠKOLÁM CZ.1.07/1.4.00/21.2146

Více

Compression of a Dictionary

Compression of a Dictionary Compression of a Dictionary Jan Lánský, Michal Žemlička zizelevak@matfyz.cz michal.zemlicka@mff.cuni.cz Dept. of Software Engineering Faculty of Mathematics and Physics Charles University Synopsis Introduction

Více

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL zápis čísel, znaků a řetězců Jazyk VHDL základní datové typy a operátory Kurz

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Implementace čítačů v číslicových systémech 2, DPS Plošné spoje od A do Z, no 4, pp. 11-14, 2011. Bez

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Aplikace matematiky. Dana Lauerová A note to the theory of periodic solutions of a parabolic equation

Aplikace matematiky. Dana Lauerová A note to the theory of periodic solutions of a parabolic equation Aplikace matematiky Dana Lauerová A note to the theory of periodic solutions of a parabolic equation Aplikace matematiky, Vol. 25 (1980), No. 6, 457--460 Persistent URL: http://dml.cz/dmlcz/103885 Terms

Více

Arduino Ethernet Shield W5100 R3

Arduino Ethernet Shield W5100 R3 1420993161 VÝROBNÍ ČÍSLO Arduino Ethernet Shield W5100 R3 1. POPIS Arduino Ethernet Shield umožní vývojovým kitům Arduino Nano, Mega 1280/2560 nebo Duemilanove 168/328 připojení k internetu (nelze použít

Více

Vývoj VHDL. Verilog HDL

Vývoj VHDL. Verilog HDL Popis systémů pomocí VHDL Vývoj VHDL HDL - Hardware Description Language VHDL - Very High Speed Integrated Circuits HDL Vývoj od roku 1983 v rámci projektu VHSIC 1987 - standard IEEE 1076-1987 1993 - revize

Více

Využití hybridní metody vícekriteriálního rozhodování za nejistoty. Michal Koláček, Markéta Matulová

Využití hybridní metody vícekriteriálního rozhodování za nejistoty. Michal Koláček, Markéta Matulová Využití hybridní metody vícekriteriálního rozhodování za nejistoty Michal Koláček, Markéta Matulová Outline Multiple criteria decision making Classification of MCDM methods TOPSIS method Fuzzy extension

Více

2N Voice Alarm Station

2N Voice Alarm Station 2N Voice Alarm Station 2N Lift1 Installation Manual Version 1.0.0 www.2n.cz EN Voice Alarm Station Description The 2N Voice Alarm Station extends the 2N Lift1/ 2N SingleTalk with an audio unit installed

Více

Arduino Data Logger Shield

Arduino Data Logger Shield 1420987444 VÝROBNÍ ČÍSLO Arduino Data Logger Shield 1. POPIS Arduino Data Logger Shield umožní vývojovým kitům Arduino (UNO, Duemilanove, Diecimila, ADK/Mega R3 a vyšší) záznam dat na externí SD kartu

Více

Standard VGA (Video Graphics Array)

Standard VGA (Video Graphics Array) Standard VGA (Video Graphics Array) Termínem VGA (Video Graphics Array) je označován jak standard pro zobrazování informací pomocí počítačové obrazovky, připojované pomocí 15 pinového konektoru, tak i

Více

SPECIFICATION FOR ALDER LED

SPECIFICATION FOR ALDER LED SPECIFICATION FOR ALDER LED MODEL:AS-D75xxyy-C2LZ-H1-E 1 / 13 Absolute Maximum Ratings (Ta = 25 C) Parameter Symbol Absolute maximum Rating Unit Peak Forward Current I FP 500 ma Forward Current(DC) IF

Více

LOGOMANUÁL / LOGOMANUAL

LOGOMANUÁL / LOGOMANUAL LOGOMANUÁL / LOGOMANUAL OBSAH / CONTENTS 1 LOGOTYP 1.1 základní provedení logotypu s claimem 1.2 základní provedení logotypu bez claimu 1.3 zjednodušené provedení logotypu 1.4 jednobarevné a inverzní provedení

Více

Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3)

Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3) VHAD - Návod k VHDL hadovi Obsah Příklad č. 1 Přepis informace ze vstupů (SW0 až SW3) na ledky (LEDG0 až LEDG3)... 1 Příklad č. 2 Blikající LED... 3 Příklad č. 3 Časovač 1s... 4 Příklad č. 4 Had 8 x LED

Více

Next line show use of paragraf symbol. It should be kept with the following number. Jak může státní zástupce věc odložit zmiňuje 159a.

Next line show use of paragraf symbol. It should be kept with the following number. Jak může státní zástupce věc odložit zmiňuje 159a. 1 Bad line breaks The follwing text has prepostions O and k at end of line which is incorrect according to Czech language typography standards: Mezi oblíbené dětské pohádky patří pohádky O Palečkovi, Alenka

Více

EURO přeshraniční platba

EURO přeshraniční platba EURO přeshraniční platba EURO přeshraniční platba je platební příkaz splňující následující kriteria: Je předložen elektronicky Je požadováno standardní provedení (tj. nikoliv urgentní nebo expresní) Částka

Více

DC circuits with a single source

DC circuits with a single source Název projektu: utomatizace výrobních procesů ve strojírenství a řemeslech egistrační číslo: Z..07/..0/0.008 Příjemce: SPŠ strojnická a SOŠ profesora Švejcara Plzeň, Klatovská 09 Tento projekt je spolufinancován

Více

W3500, W3600. Parts Catalogue Seznam dílù

W3500, W3600. Parts Catalogue Seznam dílù PØEDNÍ ZÁVÌS FORE HANGINGS W3500, W3600 Parts Catalogue Seznam dílù 10-2009 WISCONSIN Engineering CZ s.r.o. Vrahovická 41, 796 01 PROSTÌJOV CZECH REPUBLIC Tel: +420 582 401 915 Fax: +420 582 401 919 E-mail:

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

2 Axiomatic Definition of Object 2. 3 UML Unified Modelling Language Classes in UML Tools for System Design in UML 5

2 Axiomatic Definition of Object 2. 3 UML Unified Modelling Language Classes in UML Tools for System Design in UML 5 Contents Contents 1 Semestrální práce 1 2 Axiomatic Definition of Object 2 3 UML Unified Modelling Language 2 3.1 Classes in UML............................ 3 4 Tools for System Design in UML 5 5 Student

Více

Obsah&/&Content& Všeobecné)podmínky)(v)češtině)) Terms)and)Conditions)(in)english)) )

Obsah&/&Content& Všeobecné)podmínky)(v)češtině)) Terms)and)Conditions)(in)english)) ) Obsah/Content Všeobecnépodmínky(včeštině TermsandConditions(inenglish Všeobecnépodmínky používáníwebovýchstránekneighboursuniverseasouvisejícíchslužebazásadyochrany osobníchdat A.! PodmínkyužíváníwebovýchstránekNeighboursUniverseasouvisejícíchslužeb

Více

Immigration Studying. Studying - University. Stating that you want to enroll. Stating that you want to apply for a course.

Immigration Studying. Studying - University. Stating that you want to enroll. Stating that you want to apply for a course. - University I would like to enroll at a university. Stating that you want to enroll I want to apply for course. Stating that you want to apply for a course an undergraduate a postgraduate a PhD a full-time

Více

Immigration Studying. Studying - University. Stating that you want to enroll. Stating that you want to apply for a course.

Immigration Studying. Studying - University. Stating that you want to enroll. Stating that you want to apply for a course. - University Rád/a bych se zapsal/a na vysoké škole. Stating that you want to enroll Rád/a bych se zapsal/a na. Stating that you want to apply for a course bakalářské studium postgraduální studium doktorské

Více

LOGBOOK. Blahopřejeme, našli jste to! Nezapomeňte. Prosím vyvarujte se downtrade

LOGBOOK. Blahopřejeme, našli jste to! Nezapomeňte. Prosím vyvarujte se downtrade název cache GC kód Blahopřejeme, našli jste to! LOGBOOK Prosím vyvarujte se downtrade Downtrade (z GeoWiki) Je to jednání, kterého byste se při výměnách předmětů v keších měli vyvarovat! Jedná se o snížení

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Synchronní 3-bitový čítač s KO D, asyn. RST a výstupem MAX Vlastnosti: ) Čítač inkrementuje svůj výstup o 2) Změna výstupu nastává vždy při změně náběžné

Více

Nová éra diskových polí IBM Enterprise diskové pole s nízkým TCO! Simon Podepřel, Storage Sales 2. 2. 2011

Nová éra diskových polí IBM Enterprise diskové pole s nízkým TCO! Simon Podepřel, Storage Sales 2. 2. 2011 Nová éra diskových polí IBM Enterprise diskové pole s nízkým TCO! Simon Podepřel, Storage Sales 2. 2. 2011 Klíčovéatributy Enterprise Information Infrastructure Spolehlivost Obchodní data jsou stále kritičtější,

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

Automatika na dávkování chemie automatic dosing

Automatika na dávkování chemie automatic dosing Automatika na dávkování chemie automatic dosing Swimmingpool Technology Autodos 700 Automatické dávkování Autodos Autodos automatic dosing Autodos 700 je jedno-kanálové zaøízení, pro mìøení a dávkování.

Více

WORKSHEET 1: LINEAR EQUATION 1

WORKSHEET 1: LINEAR EQUATION 1 WORKSHEET 1: LINEAR EQUATION 1 1. Write down the arithmetical problem according the dictation: 2. Translate the English words, you can use a dictionary: equations to solve solve inverse operation variable

Více

Střední průmyslová škola strojnická Olomouc, tř.17. listopadu 49

Střední průmyslová škola strojnická Olomouc, tř.17. listopadu 49 Střední průmyslová škola strojnická Olomouc, tř.17. listopadu 49 Výukový materiál zpracovaný v rámci projektu Výuka moderně Registrační číslo projektu: CZ.1.07/1.5.00/34.0205 Šablona: III/2 Anglický jazyk

Více

Chapter Základní principy simulace :).

Chapter Základní principy simulace :). Chapter 1 Simulace číslicových obvodů 1.1 Základní principy simulace V doporučeních firmy Xilinx, kde píší jakým způsobem navrhovat: pozor, vyhněte se asynchronnímu návrhu, pokud se tomu nevyhnete, zlikviduje

Více

SenseLab. z / from CeMaS. Otevřené sledování senzorů, ovládání zařízení, nahrávání a přehrávání ve Vaší laboratoři

SenseLab. z / from CeMaS. Otevřené sledování senzorů, ovládání zařízení, nahrávání a přehrávání ve Vaší laboratoři CeMaS, Marek Ištvánek, 22.2.2015 SenseLab z / from CeMaS Otevřené sledování senzorů, ovládání zařízení, nahrávání a přehrávání ve Vaší laboratoři Open Sensor Monitoring, Device Control, Recording and Playback

Více

Uživatelská příručka. Xperia P TV Dock DK21

Uživatelská příručka. Xperia P TV Dock DK21 Uživatelská příručka Xperia P TV Dock DK21 Obsah Úvod...3 Přehled zadní strany stanice TV Dock...3 Začínáme...4 Správce LiveWare...4 Upgradování aplikace Správce LiveWare...4 Použití stanice TV Dock...5

Více

Database systems. Normal forms

Database systems. Normal forms Database systems Normal forms An example of a bad model SSN Surnam OfficeNo City Street No ZIP Region President_of_ Region 1001 Novák 238 Liteň Hlavní 10 26727 Středočeský Rath 1001 Novák 238 Bystřice

Více

The tension belt serves as a tension unit. After emptying the belt is cleaned with a scraper.

The tension belt serves as a tension unit. After emptying the belt is cleaned with a scraper. Second School Year BELT AND WORM CONVEYORS They are machines for transporting piece or loose materials even for great distances. In loaders and unloaders it is not necessary to stop the conveyor. The transport

Více

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Číslo projektu: Číslo šablony: Název materiálu: Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 CZ.1.07/1.5.00/34.0410 II/2 Business correspondence Business English Ročník: Identifikace materiálu:

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 2.díl. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 2.díl. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 2.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 07 Ver.1.10 J. Zděnek,

Více

Distribuované systémy a výpočty

Distribuované systémy a výpočty Distribuované systémy a výpočty X36DSV Jan Janeček (dnes Peter Macejko) Ukončení výpočtu (Termination Detection) Terminal configuration terminal state Termination implicit (message) x explicit (process)

Více

Litosil - application

Litosil - application Litosil - application The series of Litosil is primarily determined for cut polished floors. The cut polished floors are supplied by some specialized firms which are fitted with the appropriate technical

Více

PRAVIDLA ZPRACOVÁNÍ STANDARDNÍCH ELEKTRONICKÝCH ZAHRANIČNÍCH PLATEBNÍCH PŘÍKAZŮ STANDARD ELECTRONIC FOREIGN PAYMENT ORDERS PROCESSING RULES

PRAVIDLA ZPRACOVÁNÍ STANDARDNÍCH ELEKTRONICKÝCH ZAHRANIČNÍCH PLATEBNÍCH PŘÍKAZŮ STANDARD ELECTRONIC FOREIGN PAYMENT ORDERS PROCESSING RULES PRAVIDLA ZPRACOVÁNÍ STANDARDNÍCH ELEKTRONICKÝCH ZAHRANIČNÍCH PLATEBNÍCH PŘÍKAZŮ STANDARD ELECTRONIC FOREIGN PAYMENT ORDERS PROCESSING RULES Použité pojmy Platební systém Elektronický platební příkaz Účetní

Více

CZ.1.07/1.5.00/

CZ.1.07/1.5.00/ Projekt: Příjemce: Digitální učební materiály ve škole, registrační číslo projektu CZ.1.07/1.5.00/34.0527 Střední zdravotnická škola a Vyšší odborná škola zdravotnická, Husova 3, 371 60 České Budějovice

Více

Britské společenství národů. Historie Spojeného království Velké Británie a Severního Irska ročník gymnázia (vyšší stupeň)

Britské společenství národů. Historie Spojeného království Velké Británie a Severního Irska ročník gymnázia (vyšší stupeň) Název vzdělávacího materiálu: Číslo vzdělávacího materiálu: Autor vzdělávací materiálu: Období, ve kterém byl vzdělávací materiál vytvořen: Vzdělávací oblast: Vzdělávací obor: Vzdělávací předmět: Tematická

Více

Gymnázium, Brno, Slovanské nám. 7, SCHEME OF WORK Mathematics SCHEME OF WORK. cz

Gymnázium, Brno, Slovanské nám. 7, SCHEME OF WORK Mathematics SCHEME OF WORK.  cz SCHEME OF WORK Subject: Mathematics Year: first grade, 1.X School year:../ List of topisc # Topics Time period Introduction, repetition September 1. Number sets October 2. Rigtht-angled triangle October,

Více

EVROPSKÝ PARLAMENT. Výbor pro dopravu a cestovní ruch. 31. 3. 2005 PE 355.758v01-00. Společný postoj Rady (11934/3/2004 C6-0029/2005 2003/0130(COD))

EVROPSKÝ PARLAMENT. Výbor pro dopravu a cestovní ruch. 31. 3. 2005 PE 355.758v01-00. Společný postoj Rady (11934/3/2004 C6-0029/2005 2003/0130(COD)) EVROPSKÝ PARLAMENT 2004 ««««««««««««Výbor pro dopravu a cestovní ruch 2009 31. 3. 2005 PE 355.758v01-00 POZMĚŇOVACÍ NÁVRHY 1-5 Návrh doporučení pro druhé čtení Paolo Costa Bepečnostní pásy a ádržné systémy

Více

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér

Více

2N LiftIP. IO Extender. Communicator for Lifts. Version

2N LiftIP. IO Extender. Communicator for Lifts. Version 2N LiftIP Communicator for Lifts IO Extender Version 2.4.0 www.2n.cz Description The IO extender helps you extend 2N LiftIP with 1 input and 2 outputs. The purpose of the input is to cancel the rescue

Více

On large rigid sets of monounary algebras. D. Jakubíková-Studenovská P. J. Šafárik University, Košice, Slovakia

On large rigid sets of monounary algebras. D. Jakubíková-Studenovská P. J. Šafárik University, Košice, Slovakia On large rigid sets of monounary algebras D. Jakubíková-Studenovská P. J. Šafárik University, Košice, Slovakia coauthor G. Czédli, University of Szeged, Hungary The 54st Summer School on General Algebra

Více

VYSOKÁ ŠKOLA HOTELOVÁ V PRAZE 8, SPOL. S R. O.

VYSOKÁ ŠKOLA HOTELOVÁ V PRAZE 8, SPOL. S R. O. VYSOKÁ ŠKOLA HOTELOVÁ V PRAZE 8, SPOL. S R. O. Návrh konceptu konkurenceschopného hotelu v době ekonomické krize Diplomová práce 2013 Návrh konceptu konkurenceschopného hotelu v době ekonomické krize Diplomová

Více

Transportation Problem

Transportation Problem Transportation Problem ١ C H A P T E R 7 Transportation Problem The transportation problem seeks to minimize the total shipping costs of transporting goods from m origins (each with a supply s i ) to n

Více

Kdo jsme Čím se zabýváme Nabídka služeb pro veřejnou správu Ověřeno v praxi u tisíce uživatelů v podnikatelské a bankovní sféře Plně využitelné u

Kdo jsme Čím se zabýváme Nabídka služeb pro veřejnou správu Ověřeno v praxi u tisíce uživatelů v podnikatelské a bankovní sféře Plně využitelné u Kdo jsme Čím se zabýváme Nabídka služeb pro veřejnou správu Ověřeno v praxi u tisíce uživatelů v podnikatelské a bankovní sféře Plně využitelné u uživatelů ve veřejné správě Bez nutnosti nasazování dalšího

Více

Základy digitální techniky

Základy digitální techniky Základy digitální techniky Binarna aritmetika. Tabulky Karno. Operace logické a aritmetické; Binarna aritmetika. č. soust zákl. Abeceda zápis čísla binarní B=2 a={0,1} 1100 oktalová B=8 a={0,1,2,3,4,5,6,7}

Více

Invitation to ON-ARRIVAL TRAINING COURSE for EVS volunteers

Invitation to ON-ARRIVAL TRAINING COURSE for EVS volunteers Invitation to ON-ARRIVAL TRAINING COURSE for EVS volunteers (český text pro hostitelské organizace následuje na str. 3) 6.11. 11.11. 2015 Hotel Kaskáda, Ledeč nad Sázavou Husovo nám. 17, 584 01 Ledeč nad

Více

Co vím o Ázerbájdžánu?

Co vím o Ázerbájdžánu? Ministerstvo mládeže a sportu Ázerbájdžánské republiky Ministerstvo zahraničních věcí Ázerbájdžánské republiky Velvyslanectví Ázerbájdžánské republiky v Praze ve spolupráci s Centrem ázerbájdžánských a

Více

Škola: Střední škola obchodní, České Budějovice, Husova 9. Inovace a zkvalitnění výuky prostřednictvím ICT

Škola: Střední škola obchodní, České Budějovice, Husova 9. Inovace a zkvalitnění výuky prostřednictvím ICT Škola: Střední škola obchodní, České Budějovice, Husova 9 Projekt MŠMT ČR: EU PENÍZE ŠKOLÁM Číslo projektu: CZ.1.07/1.5.00/34.0536 Název projektu školy: Výuka s ICT na SŠ obchodní České Budějovice Šablona

Více

Vliv metody vyšetřování tvaru brusného kotouče na výslednou přesnost obrobku

Vliv metody vyšetřování tvaru brusného kotouče na výslednou přesnost obrobku Vliv metody vyšetřování tvaru brusného kotouče na výslednou přesnost obrobku Aneta Milsimerová Fakulta strojní, Západočeská univerzita Plzeň, 306 14 Plzeň. Česká republika. E-mail: anetam@kto.zcu.cz Hlavním

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 1.díl. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Stručný úvod do programování v jazyce C 1.díl. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Stručný úvod do programování v jazyce C 1.díl České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 06 Ver.1.10 J. Zděnek,

Více

2N LiftIP. Voice Alarm Station. Communicator for Lifts. Version

2N LiftIP. Voice Alarm Station. Communicator for Lifts. Version 2N LiftIP Communicator for Lifts Voice Alarm Station Version 2.4.0 www.2n.cz Description The 2N Voice Alarm Station is a switch that helps you extend 2N LiftIP with one or more audio units installed on

Více

Palmovka Business center +420 224 217 217. Kancelářské prostory k pronájmu / Offices for lease. Na Žertvách 2247/29, Prague 8

Palmovka Business center +420 224 217 217. Kancelářské prostory k pronájmu / Offices for lease. Na Žertvách 2247/29, Prague 8 Kancelářské prostory k pronájmu / Offices for lease Palmovka Business center Na Žertvách 2247/29, Prague 8 +420 224 217 217 Knight Frank, spol. s r.o., Diamant building, Wenceslas Square 3, 110 00, Prague

Více

1 Stručný popis jazyku VHDL

1 Stručný popis jazyku VHDL 1 Stručný popis jazyku VHDL Jazyk VHDL (Very High Speed Integrated Circuits Hardware Description Language) je spolu s jazykem Verilog HDL jedním z nejpoužívanějším jazykům pro popis hardwarových struktur

Více

Dynamic programming. Optimal binary search tree

Dynamic programming. Optimal binary search tree The complexity of different algorithms varies: O(n), Ω(n ), Θ(n log (n)), Dynamic programming Optimal binary search tree Různé algoritmy mají různou složitost: O(n), Ω(n ), Θ(n log (n)), The complexity

Více

USER'S MANUAL FAN MOTOR DRIVER FMD-02

USER'S MANUAL FAN MOTOR DRIVER FMD-02 USER'S MANUAL FAN MOTOR DRIVER FMD-02 IMPORTANT NOTE: Read this manual carefully before installing or operating your new air conditioning unit. Make sure to save this manual for future reference. FMD Module

Více

Návrh FPGA systémů. Jazyk VHDL, principy simulace. Ing. Jakub Št astný, Ph.D. 1

Návrh FPGA systémů. Jazyk VHDL, principy simulace. Ing. Jakub Št astný, Ph.D. 1 Návrh FPGA systémů Jazyk VHDL, principy simulace Ing. Jakub Št astný, Ph.D. 1 1 stastnj1@seznam.cz FPGA laboratory under the Biosignal processing laboratory Department of Circuit Theory, FEE CTU Prague

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více