Řadič automatického kotle

Rozměr: px
Začít zobrazení ze stránky:

Download "Řadič automatického kotle"

Transkript

1 Řadič automatického kotle Domovská URL dokumentu: Zdrojové kódy LOGO! programu Obsah ŘÍZENÍ AUTOMATICKÉHO KOTLE... 2 A) ŘEŠÍME JAKO ŘADIČ... B) REALIZACE ŘÁDIČE V LOGO!...4 C) REALIZACE V LOGICE...5 Princip dekompozice...5 Elektromechanická analogie...5 Elektronická realizace řadiče pomocí dekompozice...6 ŘÍZENÍ AUTOMATICKÉHO KOTLE S POJISTKOU PROTI ÚNIKU VODY... 7 A) ŘADIČ S VĚTVENÍM...8 B) SCHÉMA PRO LOGO!...9 C) ÚPRAVA PŘEDCHOZÍ REALIZACE PRO LOGIKU...10 ŘÍZENÍ AUTOMATICKÉHO KOTLE JAKO AUTOMAT NA GALU X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

2 Řízení automatického kotle Navrhněte řídicí obvod, který automaticky napustí kotel po stisknutí tlačítka START a ohřeje jeho obsah. Když se voda začne vařit, řídicí obvod vypne topení a zvonkem přivolá obsluhu. Když obsluha potvrdí svůj příchod tlačítkem CLOVEK, řízení přejde do výchozího stavu a opět čeká na signál START. Vstupy: START PLNY VAR CLOVEK zahájení cyklu kotel napuštěný voda se vaří konečně přišla obsluha Výstupy: VODA OHEN ZVON napouštění vody topíme pod kotlem zvonek na obsluhu 2 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

3 a) Řešíme jako řadič =0 =0 Var=0 =0 =1 =1 Var=1 1 2 =1 Řadič představuje speciální případ automatu, který většinou přechází do následujícího stavu, a to na základě splnění jedné z mnoha podmínek na svém vstupu, či jejich kombinace. V každém stavu může generovat i několik výstupních signálů, resp. jejich posloupnost. Pro zápis řadiče se obvykle používá následující syntaxe: Podmínka Stav Výstup1 Výstup2 1 2 Var 4 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

4 b) Realizace řádiče v LOGO! 1 2 Var 4 Uvedené řetězení SR obvodů (Q i1 zapojené na R i ) zaručuje, že v jednom kroku bude nahozený nový bit stavu a současně s tím zrušený starý bit stavu, takže nikdy nebudou současně nastavené dva stavové bity. Všimněte si inicializace detekcí náběžné hrany logické jedničky nastane pouze při prvním spuštění (scanu) programu. X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha 4

5 c) Realizace v logice Princip dekompozice Složitější úlohu s výhodou dekomponujeme na jednodušší celky. blokování hodin Multiplexor START PLNÝ VAR ČLOVĚK čítej Čítač = paměť Dekodér VODA OHEŇ 1 z n ZVONEK Elektromechanická analogie Automatická pračka, orloj a jiné. Relé Výběr kontaktu, který má právo pootočit motorkem Var Podmínkové kontakty Nestabilizovaný (střídavý) zdroj ek Výstupní kontakty Sepnutí vybraného výstupu 5 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

6 Elektronická realizace řadiče Hodiny Var D0 D1 D2 D D4 D5 D6 D7 W Y A B C D QA QB QC QD A B C G "1" 7402 UP DN LOAD CLR 7419 CO BO "1" G2B G2A G1 C B A Y0 Y1 Y2 Y Y4 Y5 Y6 Y7 74LS18 6 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

7 Řízení automatického kotle s pojistkou proti úniku vody Navrhněte řídicí obvod, který automaticky napustí kotel po stisknutí tlačítka START a ohřeje jeho obsah. Když se voda začne vařit, řídicí obvod vypne topení a zvonkem přivolá obsluhu. Pokud během ohřevu poklesne hladina vody, topení se okamžitě vypne a doplní se stav vody na maximum, teprve poté se pokračuje v ohřevu. Když obsluha potvrdí svůj příchod tlačítkem CLOVEK, řídicí obvod přejde do výchozího stavu a opět čeká na signál START. Vstupy: START PLNY VAR CLOVEK zahájení cyklu kotel napuštěný voda se vaří konečně přišla obsluha Výstupy: VODA OHEN ZVON napouštění vody topíme pod kotlem zvonek na obsluhu 7 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

8 a) Řadič s větvením Skoky připravují řadič o jeho jednoduchost. Jeden, dva skoky se dají realizovat, při větší složitosti se už může vyplatit návrh ve formě synchronního automatu. Var=1 & =0 =0 Var=0 =0 =0 1 2 =1 =1 Var=1 & =1 =1 1 2 OK OK=Var & 4 8 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

9 b) Schéma pro LOGO! 1 2 OK OK=Var & 4 9 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

10 c) Úprava předchozí realizace pro logiku Pro větvení využijeme vstup Load synchronního čítače 19 přidané obvody jsou vyznačené červenou barvou: Hodiny Var "1" A B C D QA QB QC QD D0 D1 D2 D D4 D5 D6 D7 A B C G W Y "1" 7402 UP DN LOAD CLR 7419 CO BO "1" U? G2B G2A G1 C B A Y0 Y1 Y2 Y Y4 Y5 Y6 Y7 74LS18 Poznámka: Pokud bychom navíc potřebovali zpozdit přechod mezi nějakými stavy X a Y o předvolený čas, pak ve stavu X vygenerujeme pomocný výstup jako povel pro spuštění časovače a podmíníme přechod do stavu Y uplynutím nastaveného času. Časovač lze ve stavebnici vytvořit tím, že uvolníme nulování čítače, nejlépe asynchronního dekadického čítače 90, u něhož lze dekódovat dosažení maximální stavu bez hazardů. LOGO! přímo obsahuje potřebné časovače. X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha 10

11 Řízení automatického kotle jako automat na GALu I když schéma automatu bude hodně složité, mnohdy stačí i jeden obvod (při vhodné součástkové základně...) více přednášky nebo podrobný návod Použití balíčku souborů ORCAD_GAL 1) Soubory musí být rozbalené do adresáře G:\ORCAD. Pokud si chcete přece jenom zvolit svůj vlastní adresář, musíte v MSDOSu překonfigurovat výchozí cesty uložené v PLD.EXE, spuštěním programu s parametrem /C a vhodně vyplnit údaje LP a MP (pozn. konfigurace se nedá provést pod Windows NT, 2k, XP, protože v nich není možné přepisovat spuštěné EXE soubory). 2) Svoje vlastní PLD soubory si uložte do G:\ORCAD, kde se nacházejí už ukázkové příklady. Ty si můžete prostudovat a využít je jako podklady pro vlastní návrhy. Zdrojové texty musí bezpodmínečně mít příponu *.PLD a lze je vytvářet jakýmkoliv textovým editorem, nejlépe takovým, který dovoluje spouštění jiných programů s parametry. ) Překlad spustíte dávkovým příkazem PLD.BAT, za nímž následuje jméno souboru bez přípony předpokládá se *.pld, např. PLD KOTEL. Ladění programem VECTORS zavoláte dávkovým příkazem VEC.BAT, např. VEC KOTEL. Opět se nezadává žádná přípona. 4) Výsledek překladu se vám uloží do *.JED a *.LST a výsledek krokování do *.LOG. Pokud vám bude fungovat simulace, tak vám přítomní asistenti vypálí váš GAL z dat v souboru *.JED. 5) Můžete pochopitelně GALy překládat i jinými PLD službami, nebo v síťovém ORCA Du, nicméně řádkové příkazy bývají rychlejší a pružnější 6) Pokud se nám obvod nevejde do jednoho GALU, proveďte vhodnou dekompozici úlohy do 2 GALů (max. povolený počet v úloze), případně do 12 GALů a dalších pomocných obvodů z logické stavebnice... X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha 11

12 1) Popíšeme přechodovou tabulku automatu v textovém souboru KOTEL.PLD GAL16V8 in:(reset,start,plny,var,clovek), io:(q[0..1],voda,ohen,zvon), enable: EN, clock: CL Low: RESET Registers: CL // Q[0..1] Conditioning: EN?? Q[0..1] Procedure: RESET, Q[0..1] { pokud potřebujeme, lze přiřadit stavům i naše kódy, ty jinak přiděli překladač States: Stav=0, Stav=1, StavOhen=2, Stav= Stav.START? > Stav > Stav Stav.VODA=1 PLNY? > StavOhen > Stav StavOhen.OHEN=1 VAR? > Stav PLNY'? > Stav > StavOhen Stav.ZVON=1 CLOVEK? > Stav > Stav } 12 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

13 2) Přidáme i testovací sekci na konec souboru KOTEL.PLD Vectors: { Display Q[0..1], " : ", START, PLNY, VAR, CLOVEK, " > ",VODA,OHEN,ZVON Clear EN Test CL,RESET, START, PLNY, VAR, CLOVEK Set EN Set RESET Test CL = 0,1 Clear RESET Set START, PLNY, VAR, CLOVEK = 1000 Set START, PLNY, VAR, CLOVEK = 0100 Set START, PLNY, VAR, CLOVEK = 0000 Set START, PLNY, VAR, CLOVEK = 0100 Set START, PLNY, VAR, CLOVEK = 0010 Set START, PLNY, VAR, CLOVEK = 0001 Set START, PLNY, VAR, CLOVEK = 1000 Set START, PLNY, VAR, CLOVEK = 0100 Set START, PLNY, VAR, CLOVEK = 0010 Set START, PLNY, VAR, CLOVEK = 0001 Set RESET Test CL = 0,1 End } ) Soubor přeložíme příkazem: G:\ORGAD\PLD.BAT KOTEL 4) Podíváme se na výpis KOTEL.LST, jeli překlad v pořádku 5) Spustíme simulaci G:\ORGAD\VEC.BAT KOTEL 6) Prohlédneme si výsledek simulace v KOTEL.LOG 7) Necháme si vypálit KOTEL.JED 1 X5LOR a 5LSY Logické (systémy pro) řízení, Katedra řídicí techniky, ČVUTFEL Praha

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr.

Jako pomůcka jsou v pravém dolním rohu vypsány binární kódy čísel od 0 do 15 a binární kódy příkazů, které máme dispozici (obr.21). Obr. Model procesoru Jedná se o blokové schéma složené z registrů, paměti RAM, programového čítače, instrukčního registru, sčítačky a řídicí jednotky, které jsou propojeny sběrnicemi. Tento model má dva stavy:

Více

OVLÁDÁNÍ PÁSOVÉ DOPRAVY

OVLÁDÁNÍ PÁSOVÉ DOPRAVY Katedra obecné elektrotechniky Fakulta elektrotechniky a informatiky, VŠB - TU Ostrava OVLÁDÁNÍ PÁSOVÉ DOPRAVY Návod do měření Ing. Václav Kolář Ph.D. listopad 2006 Cíl měření: Praktické ověření kontaktního

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1.

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Základy logického řízení

Základy logického řízení Základy logického řízení 11/2007 Ing. Jan Vaňuš, doc.ing.václav Vrána,CSc. Úvod Řízení = cílené působení řídicího systému na řízený objekt je členěno na automatické a ruční. Automatickéřízení je děleno

Více

1. Univerzální watchdog WDT-U2

1. Univerzální watchdog WDT-U2 1. Univerzální watchdog WDT-U2 Parametry: vstupní svorkovnice - napájení 9-16V DC nebo 7-12V AC externí galvanicky oddělený ovládací vstup napěťový od 2V nebo beznapěťový výstupní svorkovnice - kontakty

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Hardwarová realizace konečných automatů

Hardwarová realizace konečných automatů BI-AAG - Automaty a gramatiky Katedra teoretické informatiky ČVUT FIT 11.1.21 Co potřebujeme Úvod Potřebujeme: zakódovat vstupní abecedu, zakódovat stavy automatu, pamatovat si současný stav, realizovat

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

1. Programování PLC. Programovatelné automaty II - 1 -

1. Programování PLC. Programovatelné automaty II - 1 - Programovatelné automaty II - 1-1. Programování PLC Centrální jednotka Poskytuje programovatelnému automatu inteligenci. Realizuje soubor instrukcí a systémových služeb, zajišťuje i základní komunikační

Více

Simulace v Quartus II 13.0sp1

Simulace v Quartus II 13.0sp1 Simulace v Quartus II 13.0sp1 Richard Šusta, Katedra řídicí techniky ČVUT-FEL v Praze V Quartus II 13.0sp1 postup simulace mnohem jednodušší než v předchozích verzích. Předpokládejme, že máte vytvořený

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí

Programování. řídících systémů v reálném čase. Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Střední odborná škola a Střední odborné učiliště - - Centrum Odborné přípravy Sezimovo Ústí Studijní text pro 3. a 4. ročníky technických oborů Programování řídících systémů v reálném čase Verze: 1.11

Více

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Číslo projektu: Číslo šablony: Název materiálu: Ročník: Identifikace materiálu: Jméno autora: Předmět: Tématický celek: Anotace: CZ.1.07/1.5.00/34.0410

Více

MenuLIB KNIHOVNA SIMPLE4 PRO TVORBU UŽIVATELSKÉHO ROZHRANÍ NA PLC MICROPEL 02.2005

MenuLIB KNIHOVNA SIMPLE4 PRO TVORBU UŽIVATELSKÉHO ROZHRANÍ NA PLC MICROPEL 02.2005 MenuLIB KNIHOVNA SIMPLE4 PRO TVORBU UŽIVATELSKÉHO ROZHRANÍ NA PLC MICROPEL 02.2005 MenuLIB V2.0 Knihovní funkce v jazyce SIMPLE4 pro snadnou tvorbu uživatelského ovládacího rozhraní ve stylu nabídkového

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

1. Diagnostika kotle prostřednictvím řídící jednotky

1. Diagnostika kotle prostřednictvím řídící jednotky Diagnostika kotlů KP Pro diagnostiku poruchových a přechodných stavů, které se na kotlích KP mohou vyskytnout jsou k dispozici následující technické prostředky: diagnostika kotle diagnostika kotle diagnostika

Více

Pneumatické řídicí prvky

Pneumatické řídicí prvky Pneumatické řídicí prvky 6.0 blok dvouručního ovládání kompaktní systém M5 2006/10 změny vyhrazeny výrobky 2007 4/6.0-1 hlavní údaje Quickstepper Commander pneumaticko-mechanický krokovací automat s 12

Více

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE Úvod Účelem úlohy je seznámení s funkcemi a zapojeními několika sekvenčních logických obvodů, s tzv. bistabilními klopnými obvody a čítači. U logických obvodů se často

Více

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

POPIS VYNÁLEZU K AUTORSKÉMU OSVĚDČENÍ REPUBLIKA ( 19 ) (И) ÍBl) [Ы) (23) Výsuvnípriorila (22) Přihlášeno u 03 81 PV 1769-81. (75) Autor vynálezu

POPIS VYNÁLEZU K AUTORSKÉMU OSVĚDČENÍ REPUBLIKA ( 19 ) (И) ÍBl) [Ы) (23) Výsuvnípriorila (22) Přihlášeno u 03 81 PV 1769-81. (75) Autor vynálezu ČESKOSLOVENSKÁ SOCIALISTICKÁ REPUBLIKA ( 19 ) POPIS VYNÁLEZU K AUTORSKÉMU OSVĚDČENÍ [Ы) (23) Výsuvnípriorila (22) Přihlášeno u 03 81 PV 1769-81 225 084 (И) ÍBl) (51) Int. Cl.' G 01 T 1/17 ÚŘAD PRO VYNÁLEZY

Více

Práce s vysokorychlostní sběrnicí. SPEED-Bus digitální signály

Práce s vysokorychlostní sběrnicí. SPEED-Bus digitální signály Práce s vysokorychlostní sběrnicí SPEED-Bus digitální signály Práce s vysokorychlostní sběrnicí SPEED-Bus digitální signály Abstrakt SPEED-Bus je vysokorychlostní 32bitová paralelní sběrnice, která je

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

Průvodce programováním AMiNi-E jazykem STL Dipl. Ing. Pavel Votrubec

Průvodce programováním AMiNi-E jazykem STL Dipl. Ing. Pavel Votrubec Průvodce programováním AMiNi-E jazykem STL Dipl. Ing. Pavel Votrubec 1 Základní body: HW popis PLC AMiNi-E Definice potřebných vstupů Definice potřebných výstupů Definice potřebných proměnných Definice

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Univerzální watchdog WDT-U2/RS485

Univerzální watchdog WDT-U2/RS485 Univerzální watchdog WDT-U2/RS485 Parametry: Doporučené použití: hlídání komunikace na sběrnicích RS485, RS232 a jiných. vstupní svorkovnice - napájení 9-16V DC nebo 7-12V AC externí galvanicky oddělený

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Programovatelná počítadla CT6M a CT6S

Programovatelná počítadla CT6M a CT6S Products Elektrické stroje Elektronická počítadla Počítadla s předvolbou Programovatelná počítadla CT6M a CT6S Kombinace počítadlo / časové relé Velký výběr funkcí Funkce počítadla dávek (pouze CT6M-1P

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Programovatelné relé Easy (Moeller), Logo (Siemens)

Programovatelné relé Easy (Moeller), Logo (Siemens) Programovatelné Easy (Moeller), Logo (Siemens) Základní způsob programování LOGO Programovaní pomocí P - propojení P s automatem sériovou komunikační linkou - program vytvářen v tzv ovém schématu /ladder

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Střední průmyslová škola elektrotechniky, informatiky a řemesel, Frenštát pod Radhoštěm, příspěvková organizace Témata profilové maturitní zkoušky Obor: Elektrotechnika Třída: E4A Školní rok: 2010/2011

Více

Použití programu uscope k simulaci výukového přípravku pro předmět PMP

Použití programu uscope k simulaci výukového přípravku pro předmět PMP Použití programu uscope k simulaci výukového přípravku pro předmět PMP Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl

Více

Neřízené usměrňovače reálné vlastnosti

Neřízené usměrňovače reálné vlastnosti Počítačové cvičení BNEZ 1 Neřízené usměrňovače reálné vlastnosti Úkol 1: Úkol 2: Úkol 3: Úkol 4: Úkol 5: Pomocí programu OrCAD Capture zobrazte voltampérovou charakteristiku diody 1N4007 pro rozsah napětí

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Nastavení tiskárny pro tisk štítků Geis Str. 1/16

Nastavení tiskárny pro tisk štítků Geis Str. 1/16 Str. 1/16 Obsah 1. Instalace tiskárny štítků... 2 Instalace tiskárny s rozhraním USB:... 2 Instalace tiskárny se síťovým rozhraním:... 4 2. Přímý tisk na tiskárnu... 9 3. Dump mode... 10 Pro tiskárnu Zebra

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

USB3x3 sekvenční automat s USB portem pro nahrávání programů

USB3x3 sekvenční automat s USB portem pro nahrávání programů USB3x3 sekvenční automat s USB portem pro nahrávání programů ovládání: 4800/9600bps viz příkaz RKfg3, 8 bitů bez parity, 1 nebo 2 stop-bity typy příkazů: jednoznakový dotaz ( vrátí stav vstupů IN1 až IN3

Více

Příloha č. I: Schéma zapojení vývojové desky PVK-PRO

Příloha č. I: Schéma zapojení vývojové desky PVK-PRO Příloha č. I: Schéma zapojení vývojové desky PVK-PRO Schéma zapojení vývojové desky PVK-PRO (http://poli.cs.vsb.cz/edu/arp/down/pvk-pro.png) Příloha č. III: Organizace registrů v bankách PIC 16F84 Příloha

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Logické řízení s logickým modulem LOGO!

Logické řízení s logickým modulem LOGO! Logické řízení s logickým modulem LOGO! Cíl: Seznámit se s programováním jednoduchého programovatelného automatu (logického modulu) LOGO! a vyzkoušet jeho funkčnost na konkrétních zapojeních. Úkol: 1)

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Dokumentace k produktu IceWarp Notifikační nástroj

Dokumentace k produktu IceWarp Notifikační nástroj Dokumentace k produktu IceWarp Notifikační nástroj Notifikační nástroj umožňuje propojit pracovní stanicí s aplikací IceWarp Web klient. Kromě funkcí pro upozorňování na nové události je pomocí ní možné

Více

KP KP KP DIAGNOSTIKA KOTLŮ KP

KP KP KP DIAGNOSTIKA KOTLŮ KP KP 10-20-50 KP 11-21-51 KP 12-22-62 DIAGNOSTIKA KOTLŮ KP Obsah 1. Diagnostika kotlů KP... 3 2. Diagnostika kotle prostřednictvím řídící jednotky... 4 2.1. Diagnostika RKP 12157... 4 2.2. DiagnostikaE 2008...

Více

PODPORA ELEKTRONICKÝCH FOREM VÝUKY

PODPORA ELEKTRONICKÝCH FOREM VÝUKY INVE STICE DO ROZV O JE V ZDĚL ÁV Á NÍ PODPORA ELEKTRONICKÝCH FOREM VÝUKY CZ.1.07/1.1.06/01.0043 Tento projekt je financován z prostředků ESF a státního rozpočtu ČR. SOŠ informatiky a spojů a SOU, Jaselská

Více

Tiskový manažer - Printman

Tiskový manažer - Printman Tiskový manažer - Printman Tiskový manažer je program, který je součástí programu Praktik. Používá se v případě, pokud máte připojenou tiskárnu přes USB port (nebo vaše tiskárna není v seznamu podporovaných

Více

DÁLKOVÉ OVLÁDÁNÍ KLIMATIZAČNÍ JEDNOTKY NÁVOD K OBSLUZE

DÁLKOVÉ OVLÁDÁNÍ KLIMATIZAČNÍ JEDNOTKY NÁVOD K OBSLUZE DÁLKOVÉ OVLÁDÁNÍ KLIMATIZAČNÍ JEDNOTKY NÁVOD K OBSLUZE ČEŠTINA NÁVOD K INSTALACI Strana 1-8 AHI CARRIER S.E. EUROPE AIR CONDITIONING S.A. 18, KIFISOU AVENUE 0442 ATHENS, GREECE TEL.: +30-210-6796300. OBSAH

Více

Uživatelský manuál. Format Convert V3.1

Uživatelský manuál. Format Convert V3.1 Uživatelský manuál Format Convert V3.1 Obsah Obsah 1 Kapitola 1 - Popis softwaru Systémové požadavky 2 Podporovaná zařízení a formáty 2 Odinstalace 3 Kapitola 2 - Ovládání Výběr formátu souboru 4 Výběr

Více

Logické řízení výšky hladiny v nádržích

Logické řízení výšky hladiny v nádržích Popis úlohy: Spojené nádrže tvoří dohromady regulovanou soustavu. Přívod vody do nádrží je zajišťován čerpady P1a, P1b a P3 ovládaných pomocí veličin u 1a, u 1b a u 3, snímání výšky hladiny je prováděno

Více

Programování obvodů PLD v ORCADu verze

Programování obvodů PLD v ORCADu verze Programování obvodů PLD v ORCADu verze 15.11.2001 Richard Šusta a Josef Šivic Katedra řídicí techniky, ČVUT-FEL Praha Domovská stránka dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/galnavod3.pdf Obsah:

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24 Logcký sekvenční obvod Logcký

Více

Semestrální projekt do předmětu SPS

Semestrální projekt do předmětu SPS Semestrální projekt do předmětu SPS Název projektu: Instalace a provoz protokolu IPv6 v nových verzích MS Windows (XP). Ověření proti routerům Cisco a Linux. Cíl projektu: Autoři: Cílem tohoto projektu

Více

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace Číslo zadání: 1 Název zadání : Kombinační automat dvoubitová binární sčítačka Zadání : Navrhněte LO pro dvoubitovou binární sčítačku z TTL obvodů a) Proveďte analýzu zadané úlohy. b) Navrhněte sčítačku

Více

Vytvoření bootovatelného média

Vytvoření bootovatelného média Vytvoření bootovatelného média Vytvoření bootovatelného média VY_32_INOVACE_05_01_01 Vytvořeno Červen 2013 Učební materiál slouží vyučujícímu k vysvětlení základních pojmů z oblasti OS GNU/Linux a seznamuje

Více

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod... 2 2. Xilinx PicoBlaze... 2 2.1 Architektura procesoru...

Více

Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7

Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7 Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7 Seznámení s programem STEP 7 bude provedeno řešením jednoduché úlohy. Lis s ochranným zařízením má být spuštěn jen pomocí signálu START- spínače S1,

Více

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115

Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Gymnázium a Střední odborná škola, Rokycany, Mládežníků 1115 Číslo projektu: Číslo šablony: Název materiálu: Ročník: Identifikace materiálu: Jméno autora: Předmět: Tématický celek: Anotace: CZ107/1500/340410

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

A/C DÁLKOVÝ OVLÁDAČ NÁVOD K OBSLUZE

A/C DÁLKOVÝ OVLÁDAČ NÁVOD K OBSLUZE A/C DÁLKOVÝ OVLÁDAČ NÁVOD K OBSLUZE NÁVOD K OBSLUZE ČEŠTINA OBSAH STRUČNÝ NÁVOD STRUČNÝ NÁVOD OBSAH 1. STRUČNÝ NÁVOD 1 Rychlý start 02 2 Displej 03 3 Tlačítko 04 4 Provoz 08 3 4 5 6 Posuvné dveře (uzavřené)

Více

Principy počítačů I - Procesory

Principy počítačů I - Procesory Principy počítačů I - Procesory snímek 1 VJJ Principy počítačů Část V Procesory 1 snímek 2 Struktura procesoru musí umožnit změnu stavu stroje v libovolném kroku uvolnění nebo znemožnění pohybu dat po

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

KZPE semestrální projekt Zadání č. 1

KZPE semestrální projekt Zadání č. 1 Zadání č. 1 Navrhněte schéma zdroje napětí pro vstupní napětí 230V AC, který bude disponovat výstupními větvemi s napětím ±12V a 5V, kde každá větev musí být schopna dodat maximální proud 1A. Zdroj je

Více

Návod na práci s přípravkem a programem uscope na cvičeních

Návod na práci s přípravkem a programem uscope na cvičeních Návod na práci s přípravkem a programem uscope na cvičeních Ing. Tomáš Martinec Ph.D. TECHNICKÁ UNIVERZITA V LIBERCI Fakulta mechatroniky, informatiky a mezioborových studií Tento materiál vznikl v rámci

Více

Správný postup instalace firmware do satelitních přijímačů Skytec

Správný postup instalace firmware do satelitních přijímačů Skytec Správný postup instalace firmware do satelitních přijímačů Skytec Návod krok za krokem pro aktualizaci firmware Správný postup instalace firmware do satelitních přijímačů značky Skytec je velmi důležitý,

Více

Principy komunikace s adaptéry periferních zařízení (PZ)

Principy komunikace s adaptéry periferních zařízení (PZ) Principy komunikace s adaptéry periferních zařízení (PZ) Několik možností kategorizace principů komunikace s externími adaptéry, např.: 1. Podle způsobu adresace registrů, které jsou součástí adaptérů.

Více

Architektury počítačů a procesorů

Architektury počítačů a procesorů Kapitola 3 Architektury počítačů a procesorů 3.1 Von Neumannova (a harvardská) architektura Von Neumann 1. počítač se skládá z funkčních jednotek - paměť, řadič, aritmetická jednotka, vstupní a výstupní

Více

Modelová úloha Zabezpečení a správa budovy

Modelová úloha Zabezpečení a správa budovy Modelová úloha Zabezpečení a správa budovy Zadání 1. Seznamte se s funkcemi modelu Zabezpečení a správa budovy. 2. Seznamte se s možnostmi programu GB 060 Control Panel. 3. Ověřte funkčnost bezpečnostního

Více

Návod k instalaci S O L U T I O N S

Návod k instalaci S O L U T I O N S Návod k instalaci SOLUTIONS Návod k instalaci Hasičská 53 700 30 Ostrava-Hrabůvka www.techis.eu www.elvac.eu +420 597 407 507 Obchod: +420 597 407 511 obchod@techis.eu Podpora: +420 597 407 507 support@techis.eu

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení. N Měřicí a řídicí technika 2012/2013. Logické proměnné N4444 Měřicí a řídicí technika 22/23 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automat Matematický základ logického řízení

Více

NÁVOD K POUŽÍVÁNÍ. Řídící jednotka bazénového zakrytí LUMA

NÁVOD K POUŽÍVÁNÍ. Řídící jednotka bazénového zakrytí LUMA NÁVOD K POUŽÍVÁNÍ Řídící jednotka bazénového zakrytí LUMA 1 (Verze 1/2016) 1. Technické parametry Firma Předmět Funkce Certifikace CE Siemens LOGO! 8M24CE Hardware, software Ano JK-Eltra s.r.o. 241224

Více

Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů

Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Testování a spolehlivost ZS 2011/2012 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Příprava studijního programu

Více

Tiskový manažer Printman (Tiskový manažer verze 1.58 a novější)

Tiskový manažer Printman (Tiskový manažer verze 1.58 a novější) Tiskový manažer Printman (Tiskový manažer verze 1.58 a novější) Tiskový manažer je program, který je součástí programu Praktik. Používá se pokud máte připojenou tiskárnu přes USB port. (Pokud je tiskárna

Více

Průvodce instalací modulu Offline VetShop verze 3.4

Průvodce instalací modulu Offline VetShop verze 3.4 Průvodce instalací modulu Offline VetShop verze 3.4 Úvod k instalaci Tato instalační příručka je určena uživatelům objednávkového modulu Offline VetShop verze 3.4. Obsah 1. Instalace modulu Offline VetShop...

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Střídací tabule na fotbal

Střídací tabule na fotbal Středoškolská technika 2014 Setkání a prezentace prací středoškolských studentů na ČVUT Střídací tabule na fotbal Jan Šlapák VOŠ a SŠSE Novovysočanská 48/280 Praha 9 1 Úvod Na fotbale se střídací tabule

Více

Dálkové ovládání k mobilní klimatizaci APD09a, APD12a. Návod k obsluze

Dálkové ovládání k mobilní klimatizaci APD09a, APD12a. Návod k obsluze Dálkové ovládání k mobilní klimatizaci APD09a, APD12a Návod k obsluze Před provozem si pozorně přečtěte návod k obsluze. Ponechte jej pro další nahlédnutí. - strana 1 - Možnosti nastavení Provozní režim:

Více

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu.

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu. 1 Digitální zdroje Cílem cvičení je osvojení práce s digitálními zdroji signálu. Cíle cvičení Převod digitálních úrovní na analogový signál Digitální zdroj signálu a BCD dekodér Čítač impulsů Dělička frekvence

Více

FortiaViewer verze 5.0

FortiaViewer verze 5.0 FortiaViewer verze 5.0 Prohlížeč obrázků formátu BMP a JPG ve složce, který je malý, nevyžaduje instalaci a můžete si jej vypálit do každé složky s fotografiemi na CD nebo DVD Příručka uživatele FORTIA

Více