Struktura a architektura počítačů

Rozměr: px
Začít zobrazení ze stránky:

Download "Struktura a architektura počítačů"

Transkript

1 Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24

2 Logcký sekvenční obvod Logcký sekvenční obvod (LSO) popsán stavovým dagramem, rovncem, tabulkam, HL jazykem Vstupní, výstupní vnřní ( ) proměnné nabývají pouze hodnot nebo Vstupní stav I O Výstupní stav LSO S y n k y m Vntřní stav Hodnoty všech výstupních proměnných jsou v každém časovém okamžku určeny hodnotam vstupních proměnných ve stejném okamžku ale též hodnotam vstupních proměnných předcházejících (LSO má vntřní paměť) A7B4SAP Struktura a archtektura počítačů 2

3 Konečný automat (FSA), přechodová a výstupní funkce Abstraktní model sekvenčního obvodu konečný automat (FSA Fnte State Automaton nebo také FSM Fnte State Machne) Konečný automat konečný počet vstupních, vntřních a výstupních stavů anou kombnac vstupních, vntřních a výstupních proměnných nazveme vstupní, vntřní a výstupní stav a označíme I, S, O k j Přechodová funkce: t+ t S = f ( S, I t ), S t+ t S, I t vntřní následující, vntřní a vstupní současný stav Výstupní funkce: t t t O = g( S, I ) t t O S,, I t výstupní, vntřní a vstupní současný stav A7B4SAP Struktura a archtektura počítačů 3

4 Obecný model logckého sekvenčního obvodu (Huffmann) LSO - Logcký sekvenční obvod t t t O = g( S, I ) I,, Logcký kombnační obvod O y,,y j t+ t t S = f ( S, I ) S Paměťová část,, k A7B4SAP Struktura a archtektura počítačů 4

5 Rozdělení LSO Podle časové reakce na změnu vstupních proměnných Asynchronní změna stavu LSO po změně vstupních proměnných hned (resp. s malým zpožděním v důsledku reakce vntřních obvodů LSO). Synchronní změna stavu LSO synchronzována vnějším synchronzačním mpulsy (tzv. hodny, clock). LSO jsou navrhovány většnou jako synchronní snadnější kontrola vntřních sgnálů LSO. Podle způsobu výpočtu přechodové a výstupní funkce LKO Automat typu Mealy Automat typu Moore Autonomní automat (např. čítače, ) t+ t t S = f ( S, I ) t+ t S = f ( S, I t ) t+ t S = f ( S ) t t t O = g( S, I ) O t = g( t S ) O t = g( t S ) A7B4SAP Struktura a archtektura počítačů 5

6 Synchronní FSA typu Mealy t+ t S = f ( S, I t ) t t t O = g( S, I ) Budcí funkce Stavový regstr Logka výstupů LKO LKO2 O C I A7B4SAP Struktura a archtektura počítačů 6

7 Synchronní FSA typu Moore t+ t S = f ( S, I t ) O t = g( t S ) Budcí funkce Stavový regstr Logka výstupů LKO LKO2 O C I A7B4SAP Struktura a archtektura počítačů 7

8 Synchronní FSA autonomní t+ t S = f ( S ) O t = g( t S ) Budcí funkce Stavový regstr Logka výstupů LKO LKO2 O C A7B4SAP Struktura a archtektura počítačů 8

9 Forma popsu LSO (FSA) Stavovým dagramem (State dagram) forma orentovaného grafu Soustavou rovnc Tabulkam přechodů a výstupů Některým programovacím jazykem Vyjmenováním všech posloupností vstupů a výstupů (TO NE) nepraktcké nepoužívá se, vstupní posloupnost může být nekonečné délky A7B4SAP Struktura a archtektura počítačů 9

10 Formy popsu FSA typu Mealy Přechod I / = / Stav Stavový dagram I / = / Vstup S 2 I / = / S I / = / I / = / S I / = / Výstup (umístěn u hran) Přechodová a výstupní funkce Současný výskyt stavu a vstupu Přechod ze stavu v čase t do t+ Okamžté vytvoření výstupu S α I S j S α I S k S α I S S α S S S I S α I S2 2 α I S2 2 α I S S α I : O S α I j α : k : O : O S α I S α I : O S α I : O S α I 2 : O S α I 2 : O A7B4SAP Struktura a archtektura počítačů

11 Formy popsu FSA typu Mealy Stavový dagram Tabulky přechodů a výstupů Přechod I / = / Tabulka přechodů S I I I / = / S I / = / S S S S S S 2 I / = / S 2 S S 2 I / = / S 2 S Tabulka výstupů Stav Vstup I / = / Výstup (umístěn u hran) S I I S O O S O O S 2 O O A7B4SAP Struktura a archtektura počítačů

12 Formy popsu FSA typu Moore Stavový dagram Stav Vstup I S I S 3 I I I S 2 I I I S Výstup (umístěn u uzlu) Přechodová a výstupní funkce Současný výstkyt stavu a vstupu Přechod ze stavu v čase t do t+ Okamžté vytvoření výstupu S α I S S S S S S S S S j k α I S α I S α I S α I S2 2 α I S2 2 α I S3 3 α I S 3 α I S S : O k S : O S : O S 2 : O S 3 : O α : A7B4SAP Struktura a archtektura počítačů 2

13 Formy popsu FSA typu Moore Stavový dagram Tabulky přechodů a výstupů I Tabulka přechodů S I I I S I S S S S S S 2 S 3 I S S 2 S 3 S 2 I S 3 S S I S 2 I Tabulka výstupů S O Stav Vstup I Výstup (umístěn u uzlu) S O S O S 2 O S 3 O A7B4SAP Struktura a archtektura počítačů 3

14 Postup návrhu logckého sekvenčního obvodu (schema) Návrh obvodového řešení zápsem schematu Formulace zadání slovní pops Stavový dagram (orentovaný graf přechodů a výstupů) Tabulky přechodů a výstupů Kódování vntřních stavů a výstupů Zakódované tabulky přechodů a výstupů Budící funkce a funkce výstupů Mnmalzace budící funkce a funkce výstupů (K mapy) Návrh z hradel (z požadovaných typů) schema [Logcká smulace] Realzace z hradel [Časová smulace po realzac (umístění do hradlového pole)] Výpočet (ověření) mamální povolené frekvence synchronzačního sgnálu (následující přednáška) Ověření v aplkac A7B4SAP Struktura a archtektura počítačů 4

15 Postup návrhu logckého sekvenčního obvodu (HL) Návrh obvodového řešení zápsem v HL (VHL, Verlog) Formulace zadání slovní pops Stavový dagram (orentovaný graf přechodů) Záps programu v HL (Hardware escrpton Language) Syntéza zapojení (překlad programu v HL) [Logcká smulace] Realzace z hradel (z prostředků hradlového pole)(place & Route) [Časová smulace po realzac (umístění do hradlového pole)] Výpočet (ověření) mamální povolené frekvence synchronzačního sgnálu (následující přednáška) Ověření v aplkac A7B4SAP Struktura a archtektura počítačů 5

16 A7B4SAP Struktura a archtektura počítačů 6 Paměťový člen záchytný regstr (Latch) R S R S!!! R S Latch (NAN) R S +!!! R S Latch (NOR) R S +!!! zakázaný stav

17 Paměťový člen záchytný regstr (Latch) S R Clk X nezáleží R S Latch (Clock enable) R S Clk!!! X X +!!! zakázaný stav A7B4SAP Struktura a archtektura počítačů 7

18 Paměťový člen záchytný regstr ( Latch) Symbol C Clk Latch (Clock enable) Clk X + X nezáleží A7B4SAP Struktura a archtektura počítačů 8

19 Paměťový člen klopný obvod ( Flp-Flop) Symbol Clk záps řízený náběžnou hranou Flp-Flop Clk X X + A7B4SAP Struktura a archtektura počítačů 9

20 Paměťový člen klopný obvod ( Flp-Flop) Set Symbol SET CLR Clk Reset záps řízený náběžnou hranou asynchronní nulování/nastavení Flp-Flop Reset Set Clk X X X X X X + A7B4SAP Struktura a archtektura počítačů 2

21 Synchronní 2btový bnární čítač Navrhněte synchronní konečný automat (FSA Fnte State Automaton) typu čítač. Čítač čítá v bnárním kódu a je 2btový. Automat navrhněte s asynchronním nulováním. FSA S O (Outputs) A7B4SAP Struktura a archtektura počítačů 2

22 Synchronní 2btový bnární čítač Co máme navrhnout? Budcí funkce Stavový regstr Logka výstupů LKO LKO2 I C A7B4SAP Struktura a archtektura počítačů 22

23 Synchronní 2btový bnární čítač Stavový dagram I Vstupy (Inputs) (nemá) O Výstupy (Outputs) S -tý stav S S 3 S Tabulka přechodů Tabulka výstupů S S + S 2 S O S S S O S S 2 2 S O S 2 S 3 S 2 O 2 S 3 S S 3 O 3 A7B4SAP Struktura a archtektura počítačů 23

24 Synchronní 2btový bnární čítač Tabulka přechodů S S + S S S S 2 S 2 S 3 S 3 S Kódování stavů S S + Budcí funkce Stavový regstr S d d S + S S! d S! S 2 d S 2 S 3 S 3 S Zpětná vazba A7B4SAP Struktura a archtektura počítačů 24

25 Synchronní 2btový bnární čítač Tabulka výstupů S O I S O S O S 2 O 2 S 3 O 3 S Kódování výstupů O Stavový regstr Logka výstupů d d y y S y y O S O S! O S 2 O 2 S 3 O 3 S = O A7B4SAP Struktura a archtektura počítačů 25

26 Synchronní 2btový bnární čítač Mnmalzace d d = + = XOR 2 3 d 2 3 d = y = y = A7B4SAP Struktura a archtektura počítačů 26

27 Synchronní 2btový bnární čítač Realzace d SET CLR y d SET y CLR reset A7B4SAP Struktura a archtektura počítačů 27

28 Synchronní 2btový bnární čítač Co jsme navrhl? Budcí funkce Stavový regstr Logka výstupů LKO LKO2 C A7B4SAP Struktura a archtektura počítačů 28

29 Co jsme navrhl? Synchronní 2btový bnární čítač Budící funkce Stavový regstr Logka výstupů d SET CLR y d SET y CLR reset LKO LKO2 A7B4SAP Struktura a archtektura počítačů 29

30 etektor posloupnost btů (FSA typu Moore) Navrhněte synchronní konečný automat (FSA Fnte State Automaton), který v proudu vstupních btů detekuje posloupnost. Př detekc každé takové posloupnost automat vyšle na výstupu mpuls. Automat navrhněte s asynchronním nulováním. I (Inputs) FSA S (Moore) O (Outputs) y y A7B4SAP Struktura a archtektura počítačů 3

31 etektor posloupnost btů (FSA typu Moore) Co máme navrhnout? Budcí funkce Stavový regstr Logka výstupů LKO LKO2 I C A7B4SAP Struktura a archtektura počítačů 3

32 etektor posloupnost btů (FSA typu Moore) Stavový dagram I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav I I S y I S 3 I S Tabulka přechodů I Tabulka výstupů S I I S O S S S S S S 2 I S 2 I S O S O S 2 S 3 S 2 S 2 O S 3 S S I S 3 O A7B4SAP Struktura a archtektura počítačů 32

33 etektor posloupnost btů (FSA typu Moore) Tabulka přechodů S I I S S S S S S 2 S 2 S 3 S 2 S 3 S S Kódování stavů S I S + S d d S + S S S! Budcí funkce Stavový regstr S S S 2 d d S 2 S 3 S 2 Zpětná vazba S 3 S S! A7B4SAP Struktura a archtektura počítačů 33

34 etektor posloupnost btů (FSA typu Moore) Tabulka výstupů S O I S O S O S 2 O S 3 O Kódování Výstupů S y S S Stavový regstr Logka výstupů S 2 d d y S 3 A7B4SAP Struktura a archtektura počítačů 34

35 A7B4SAP Struktura a archtektura počítačů 35 etektor posloupnost btů (FSA typu Moore) d d d + = y= d ) ( + + = = + + = Mnmalzace

36 etektor posloupnost btů (FSA typu Moore) Realzace d SET CLR d SET y CLR reset A7B4SAP Struktura a archtektura počítačů 36

37 etektor posloupnost btů (FSA typu Moore) Co jsme navrhl? Budcí funkce Stavový regstr Logka výstupů LKO LKO2 I C A7B4SAP Struktura a archtektura počítačů 37

38 etektor posloupnost btů (FSA typu Moore) Co jsme navrhl? Budící funkce Stavový regstr Logka výstupů d SET CLR d SET y CLR reset LKO LKO2 A7B4SAP Struktura a archtektura počítačů 38

39 etektor posloupnost btů (FSA typu Moore) nt cbtstream3ecoder(nt _n, nt reset){ // Moore type FSA, // Inputs: _n, reset, Outputs: y_out enum {s,s,s2,s3}; statc nt statereg=s, netstate=s, y_out; f(reset == TRUE){ statereg = s; netstate = s; _n = ; } y_out = ; statereg = netstate; swtch(statereg){ case s: f(_n == ); f(_n == ) netstate = s; break; case s: f(_n == ) netstate = s; f(_n == ) netstate = s2; break; case s2: f(_n == ) netstate = s3; f(_n == ); break; case s3: y_out = ; f(_n == ) netstate = s; f(_n == ) netstate = s; break; default: // Error secton y_out = ; netstate = s; }// swtch() EN return(y_out); }// cbtstream3ecoder() EN C A7B4SAP Struktura a archtektura počítačů 39

40 etektor posloupnost btů (FSA typu Moore) class JBtStream3ecoder { fnal nt s =, s =, s2 = 2, s3 = 3; nt statereg = s, netstate = s; nt yout = ; publc JBtStream3ecoder() {// Constructor // empty } vod setfsareset (boolean reset){ statereg = s; netstate = s; yout = ; } nt jbtstream3ecoder(nt In) { // Moore type FSA // Inputs: In, reset, Outputs: y_out yout = ; statereg = netstate; swtch (statereg) { case s: f (In == ); f (In == ) netstate = s; break; case s: f (In == ) netstate = s; f (In == ) netstate = s2; break; case s2: f (In == ) netstate = s3; f (In == ); break; case s3: yout = ; f (In == ) netstate = s; f (In == ) netstate = s; break; default: // Error secton yout = ; netstate = s; }// swtch() EN return (yout); }// jbtstream3ecoder() EN }// JBtStream3ecoder class EN Java A7B4SAP Struktura a archtektura počítačů 4

41 etektor posloupnost btů (FSA typu Moore) entty vbtstream3ecoder s Port ( : n ST_LOGIC; _n : n ST_LOGIC; y_out : out ST_LOGIC; reset : n ST_LOGIC; : out std_logc_vector( downto ) ); end vbtstream3ecoder; archtecture Behavoral of vbtstream3ecoder s type states s (s,s2,s3,s4); sgnal statereg, netstate: states:= s; begn -- FSA - Fnte State Machne process(, reset) begn f reset = '' then statereg <= s; elsf 'event and = '' then statereg <= netstate; end f; end process; process(statereg, _n) -- State dagram defnton begn netstate <= statereg; case statereg s when s => f _n = '' then netstate <= s2; end f; when s2 => f _n = '' then netstate <= s; elsf _n = '' then netstate <= s3; end f; when s3 => f _n = '' then netstate <= s3; elsf _n = '' then netstate <= s4; end f; when s4 => f _n = '' then netstate <= s2; elsf _n = '' then netstate <= s; end f; VHL when others => netstate <= statereg; end case; end process; process(statereg) -- Output functon begn case statereg s when s => y_out <= ''; when s2 => y_out <= ''; when s3 => y_out <= ''; when s4 => y_out <= ''; when others => null; end case; end process; end Behavoral; A7B4SAP Struktura a archtektura počítačů 4

42 etektor posloupnost btů (FSA typu Mealy) Navrhněte synchronní konečný automat (FSA Fnte State Automaton), který v proudu vstupních btů detekuje posloupnost. Př detekc každé takové posloupnost automat vyšle na výstupu mpuls. Automat navrhněte s asynchronním nulováním. I (Inputs) FSA S (Mealy) O (Outputs) y y A7B4SAP Struktura a archtektura počítačů 42

43 etektor posloupnost btů (FSA typu Mealy) Co máme navrhnout? Budcí funkce Stavový regstr Logka výstupů LKO LKO2 C I Budcí funkce Stavový regstr Logka výstupů FSA Mealy LKO LKO2 Srovnej vs FSA Moore I C A7B4SAP Struktura a archtektura počítačů 43

44 etektor posloupnost btů (FSA typu Mealy) Stavový dagram I Vstupy (Inputs) O Výstupy (Outputs) S -tý stav I / = / I / = / S y I / = / I / = / I / = / S 2 S Tabulka přechodů S I I S S S S S S 2 S 2 S S 2 I / = / Tabulka výstupů S I I S O O S O O S 2 O O A7B4SAP Struktura a archtektura počítačů 44

45 etektor posloupnost btů (FSA typu Mealy) Tabulka přechodů S I I S S S S S S 2 S 2 S S 2 Kódování stavů S I S + S d d S + Budcí funkce Stavový regstr S S S! d d S S S 2 S 2 S S 2 Zpětná vazba A7B4SAP Struktura a archtektura počítačů 45

46 etektor posloupnost btů (FSA typu Mealy) Tabulka výstupů S I I S O O S O O S 2 O O S I O Kódování výstupů Stavový regstr Logka výstupů d S y S d y S S 2 A7B4SAP Struktura a archtektura počítačů 46

47 etektor posloupnost btů (FSA typu Mealy) d d = d + d = Mnmalzace y y= A7B4SAP Struktura a archtektura počítačů 47

48 etektor posloupnost btů (FSA typu Mealy) Realzace d SET CLR d SET y CLR reset A7B4SAP Struktura a archtektura počítačů 48

49 etektor posloupnost btů (FSA typu Mealy) Co jsme navrhl? Budcí funkce Stavový regstr Logka výstupů LKO LKO2 C I A7B4SAP Struktura a archtektura počítačů 49

50 etektor posloupnost btů (FSA typu Mealy) Co jsme navrhl? Budící funkce Stavový regstr Logka výstupů d SET CLR d SET y CLR reset LKO LKO2 A7B4SAP Struktura a archtektura počítačů 5

51 Časování výpočet mamální hodnové frekvence Ovlvněno: Technologí Typy hradel Počtem vstupů u hradel Zatížením výstupů hradel (větvením) Typem klopných obvodů élkou propojovacích vodčů (na plošném spoj, ) Vzájemnou polohou vodčů (kvalta návrhu plošného spoje) Rozmístěním součástek Počtem zemnících a napájecích vrstev Způsobem rozvodu napájení Rozmístěním blokovacích kondezátorů alším vlvy.. Podrobnost další přednášku A7B4SAP Struktura a archtektura počítačů 5

52 Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu KONEC České vysoké učení techncké Fakulta elektrotechncká A7B4SAP Struktura a archtektura počítačů 52

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

Logické obvody Kombinační a sekvenční stavební bloky

Logické obvody Kombinační a sekvenční stavební bloky MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Část důležtá něco jen pro zájemce (Označeno???) Logcké obvody Kombnační a sekvenční stavební bloky České vysoké učení techncké Fakulta

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč České vsoké učení techncké Fkult elektrotechncká Ver..3 J. Zděnek / M. Chomát 24 Čsování výpočet

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

LOGICKÉ OBVODY J I Ř Í K A L O U S E K

LOGICKÉ OBVODY J I Ř Í K A L O U S E K LOGICKÉ OBVODY J I Ř Í K A L O U S E K Ostrava 2006 Obsah předmětu 1. ČÍSELNÉ SOUSTAVY... 2 1.1. Číselné soustavy - úvod... 2 1.2. Rozdělení číselných soustav... 2 1.3. Polyadcké číselné soustavy... 2

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

ARITMETICKOLOGICKÁ JEDNOTKA

ARITMETICKOLOGICKÁ JEDNOTKA Vyšší odborná škola a Střední průmyslová škola elektrotechncká Božetěchova 3, Olomouc Třída : M4 Školní rok : 2000 / 2001 ARITMETICKOLOGICKÁ JEDNOTKA III. Praktcká úloha z předmětu elektroncké počítače

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Systém přerušení. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Systém přerušení. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Systém přerušení České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 6 Ver.1.2 J. Zděnek, 213 1 pic18f Family Interrupt

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL konstanty, signály a proměnné Jazyk VHDL paralelní a sekvenční doména Kurz A0B38FPGA

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Opakování České vysoké učení technické Fakulta elektrotechnická AB4MIS Mikroprocesory pro výkonové systémy Ver..4 J. Zděnek, 27 MOS transistory jako elektrické spínače

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

PROGRAMOVACÍ JAZYKY A PŘEKLADAČE REALIZACE PŘEKLADAČE I

PROGRAMOVACÍ JAZYKY A PŘEKLADAČE REALIZACE PŘEKLADAČE I PROGRAMOVACÍ JAZYKY A PŘEKLADAČE REALIZACE PŘEKLADAČE I 2011 Jan Janoušek BI-PJP Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Programová realizace DKA typedef enum {q0, q1,... qn,

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Pokročilé využití jazyka VHDL. Pavel Lafata

Pokročilé využití jazyka VHDL. Pavel Lafata Pokročilé využití jazyka VHDL Pavel Lafata Autor: Pavel Lafata Název díla: Pokročilé využití jazyka VHDL Zpracoval(a): České vysoké učení technické v Praze Fakulta elektrotechnická Kontaktní adresa: Technická

Více

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

1 Stručný popis jazyku VHDL

1 Stručný popis jazyku VHDL 1 Stručný popis jazyku VHDL Jazyk VHDL (Very High Speed Integrated Circuits Hardware Description Language) je spolu s jazykem Verilog HDL jedním z nejpoužívanějším jazykům pro popis hardwarových struktur

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium Vysoká škola báňská - Techncká unverzta Ostrava Fakulta elektrotechnky a nformatky LOGICKÉ OBVODY pro kombnované a dstanční studum Zdeněk Dvš Zdeňka Chmelíková Iva Petříková Ostrava ZDENĚK DIVIŠ, ZDEŇKA

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod

Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod Jak navrhnout systém se 700 mil. Tranzistorů? Digitální Časová analýza Návrh topologie Dělení u na subsystémy Návrh je rozdělen na jednotlivé bloky a ty na další sub-bloky Použití již existujících ů Rychlejší

Více

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic.

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic. Základní principy konstrukce systémové sběrnice - shrnutí Shrnout základní principy konstrukce a fungování systémových sběrnic. 1 Co je to systémová sběrnice? Systémová sběrnice je prostředek sloužící

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Speciální obvody a jejich programování v C 2. díl České vysoké učení technické Fakulta elektrotechnická Ver.1.10 J. Zděnek, 2017 Compare Unit jiné řešení Následující

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Iterační výpočty. Dokumentace k projektu pro předměty IZP a IUS. 22. listopadu projekt č. 2

Iterační výpočty. Dokumentace k projektu pro předměty IZP a IUS. 22. listopadu projekt č. 2 Dokumentace k projektu pro předměty IZP a IUS Iterační výpočty projekt č.. lstopadu 1 Autor: Mlan Setler, setl1@stud.ft.vutbr.cz Fakulta Informačních Technologí Vysoké Učení Techncké v Brně Obsah 1 Úvod...

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Synchronní 3-bitový čítač s KO D, asyn. RST a výstupem MAX Vlastnosti: ) Čítač inkrementuje svůj výstup o 2) Změna výstupu nastává vždy při změně náběžné

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Konečné automaty (sekvenční obvody)

Konečné automaty (sekvenční obvody) Konečné automaty (sekvenční obvody) Název školy: SPŠ Ústí nad Labem, středisko Resslova Autor: Ing. Pavel Votrubec Název: VY_32_INOVACE_03_CIT_42_III_Seminarni_prace_navrh_KA Téma: Návrhy zadání III. Seminární

Více

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,

Více

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla) Otázka 10 - Y36SAP Zadání Logické obvody. Logické funkce, formy jejich popisu. Kombinační obvody a jejich návrh. Sekvenční systém jako konečný automat. Synchronní a asynchronní sekvenční obvody a jejich

Více

KZPE semestrální projekt Zadání č. 1

KZPE semestrální projekt Zadání č. 1 Zadání č. 1 Navrhněte schéma zdroje napětí pro vstupní napětí 230V AC, který bude disponovat výstupními větvemi s napětím ±12V a 5V, kde každá větev musí být schopna dodat maximální proud 1A. Zdroj je

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: technika počítačů 1. Kombinační logické obvody a. kombinační logický obvod b. analýza log. obvodu 2. Čítače a. sekvenční logické obvody b. čítače 3. Registry

Více

Konvolučníkódy. MI-AAK(Aritmetika a kódy)

Konvolučníkódy. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Konvolučníkódy c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

3. Aritmetika nad F p a F 2

3. Aritmetika nad F p a F 2 3. Aritmetika nad F p a F 2 m Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze c Martin Novotný, 2011 MI-BHW Bezpečnost a technické

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Vkládání pomocí Viterbiho algoritmu

Vkládání pomocí Viterbiho algoritmu Vkládání pomocí Vterbho algortmu Andrew Kozlk KA MFF UK C Vkládání pomocí Vterbho algortmu Cíl: Využít teor konvolučních kódů. Motvace: Vterbho dekodér je soft-decson dekodér. Každému prvku nosče přřadíme

Více

CO JE STAVOVÝ AUTOMAT

CO JE STAVOVÝ AUTOMAT CO JE STAVOVÝ AUTOMAT Co je stavový automat Číslo DUM v digitálním archivu školy VY_32_INOVACE_10_02_01 Materiál seznamuje s tím, co je stavový automat. PRINCIP STAVOVÉHO AUTOMATU Princip stavového automatu

Více

Úvod do počítačových architektur

Úvod do počítačových architektur Úvod do počítačových architektur T.Mainzer Úvod - analogový vs digitální počítač - analogový - (+) rychlost, (-) přesnost, opakovatelnost, specializovanost - digitální - (+) opakovatelnost, univerzálnost

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) imní semestr 2/2 Jiří Douša, katedra číslicového návrhu (K83), České vysoké učení technické v Prae,

Více

Firmware řídící jednotky stejnosměrného generátoru

Firmware řídící jednotky stejnosměrného generátoru Firmware řídící jednotky stejnosměrného generátoru Zdeněk KOLKA Projekt FR-TI1/184 - Výzkum a vývoj systému řízení a regulace pozemního letištního zdroje Popis Řídicí jednotka GCU 400SG je elektronické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

{ } SYNTÉZA TABULEK PŘECHODŮ 1. NEALGEBRAICKÉ METODY

{ } SYNTÉZA TABULEK PŘECHODŮ 1. NEALGEBRAICKÉ METODY SNTÉZA TABULEK PŘECHODŮ. NEALGEBRAICKÉ METOD a) GINSBURGOVA METODA Využívá tzv. korespondencí mez vstupním a výstupním slovem př dané vstupní a výstupní abecedě. Jnak řečeno, vyhodnocuí se ednotlvé odezvy

Více

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér

Více

Základní pojmy. Úvod do programování. Základní pojmy. Zápis algoritmu. Výraz. Základní pojmy

Základní pojmy. Úvod do programování. Základní pojmy. Zápis algoritmu. Výraz. Základní pojmy Úvod do programování Michal Krátký 1,Jiří Dvorský 1 1 Katedra informatiky VŠB Technická univerzita Ostrava Úvod do programování, 2004/2005 Procesor Procesorem je objekt, který vykonává algoritmem popisovanou

Více

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE Úvod Účelem úlohy je seznámení s funkcemi a zapojeními několika sekvenčních logických obvodů, s tzv. bistabilními klopnými obvody a čítači. U logických obvodů se často

Více