PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec

Rozměr: px
Začít zobrazení ze stránky:

Download "PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec"

Transkript

1 Technická zpráva PicoBlaze lekce 3: sériová komunikace RS232 a testování IP jader pomocí procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz, stejskal@utia.cas.cz Obsah 1. Úvod Moduly pro sériovou komunikaci Blokové schéma Nastavení přenosové rychlosti Připojení modulů k procesoru PicoBlaze Programové ovládání v procesoru PicoBlaze Tvorba IP jader pomocí Xilinx Core Generator Příklad použití Tvorba IP jader Připojení IP jádra k procesoru PicoBlaze Blokové schéma Připojení IP jader Ovládání IP jádra Otestování IP jádra v přípravku Obsah a popis přiloženého balíku Reference Revize Revize Datum Autor Popis změn v dokumentu Svozil, Stejskal Vytvoření dokumentu Kafka Revize dokumentu Svozil Revize dokumentu.

2 1. Úvod Tato lekce seznamuje uživatele s připojením modulů pro sériovou komunikaci RS232 k procesoru PicoBlaze a SW obsluhou těchto modulů. Dále pak s postupem tvorby IP jader v nástroji Xilinx Core Generator. Lekce dále popisuje tester takto vytvořených IP jader založený na procesoru PicoBlaze. Takto je pak možné provádět verifikaci IP jader z terminálu PC. Tester je zde uveden jako praktický příklad použití procesoru PicoBlaze. 2. Moduly pro sériovou komunikaci Součástí balíčku obsahujícího procesor PicoBlaze jsou moduly pro implementaci sériové linky. Moduly jsou dva: přijímací modul UART_RX a vysílací modul UART_TX. Oba moduly využívají 16 bajtů velkou vyrovnávací paměť FIFO, viz obrázek 1. Moduly používají toto nastavení sériové linky: 1 start bit, 8 datových bitů, žádná parita a 1 stop bit. Přenosová rychlost je volitelná. Oba moduly jsou velmi malé: vysílací modul zabírá na FPGA čipu 18 slice; přijímací modul 22 slice. Obrázek 1 UART vysílač a přijímač (převzato z [3]) 2.1 Blokové schéma Blokové schéma přijímače (UART_RX) je naznačeno na obrázku 2. Hlavní entita uart_rx obsahuje dvě komponenty: kcuart_rx a bbfifo_16x8. Sériová data vstupují do modulu signálem serial_in. Signál en_16_x_baud určuje baudovou rychlost přijímače. Řídícím signálem read_buffer je signalizováno, že aktuální data na výstupu data_out byla přečtena. Signálem reset_buffer se vymaže vyrovnávací paměť FIFO. Výstupní signály buffer_data_present, buffer_half_full a buffer_full indikují stav vyrovnávací paměti FIFO. Výstupní data data_out jsou 8bitová. Obrázek 2 Modul UART přijímač (RX) s pamětí FIFO (převzato z [3]) 2/20

3 Deklarace modulu přijímače uart_rx je na obrázku 3. Obrázek 3 Deklarace komponenty UART přijímače ve VHDL Blokové schéma modulu vysílače uart_tx je na obrázku 4. Hlavní entita uart_tx obsahuje dvě komponenty, kcuart_tx a bbfifo_16x8. Data do modulu vstupují 8bitovým signálem data_in. Řídící signály jsou obdobné jako u přijímače uart_rx. Namísto signálu read_buffer je zde signál write_buffer, který povoluje zápis dat do vyrovnávací paměti v modulu. Výstupem modulu je signál serial_out. Obrázek 4 Modul UART vysílače (TX) s pamětí FIFO (převzato z dokumentace [3]) 3/20

4 Deklarace modulu vysílače uart_tx je na obrázku 5. Obrázek 5 Deklarace komponenty UART vysílače ve VHDL 2.2 Nastavení přenosové rychlosti Pro nastavení přenosové rychlosti je nutné nastavit periodu signálu en_16_x_baud podle požadované přenosové rychlosti. Příklad generování tohoto signálu je na obrázku 6. Požadovaná baudová rychlost se nastavuje vhodnou konstantou v podmínce if baud_count = XX then. Hodnota konstanty ClockDivision se vypočítá podle Rovnice 1, kde clk_rate je hodinový kmitočet FPGA a BAUD_RATE je požadovaná přenosová rychlost. Výsledek je pak třeba zaokrouhlit na celé číslo. Příklad na obrázku 6 ukazuje nastavení přenosové rychlosti Baud při hodinovém kmitočtu 50 MHz. ClockDivis ion = clk _ rate BAUD _ RATE 16 Rovnice 1 Výpočet dělícího kmitočtu pro UART komponenty Obrázek 6 Nastavení přenosové rychlosti pro UART ve VHDL 4/20

5 2.3 Připojení UART modulů k procesoru PicoBlaze Tato kapitola se zabývá připojením obou modulů pro sériovou komunikaci k procesoru PicoBlaze. Procesor PicoBlaze má jeden výstupní port out_port, vstupní port in_port a adresovací port port_id. Všechny tyto porty jsou 8bitové. To dává možnost adresovat až 256 vstupních a výstupních 8bitových registrů. Zde se budeme zabývat pouze jednoduchým případem, kdy jsou na vstup a výstup procesoru připojeny moduly pro sériovou komunikaci. Blokové schéma zapojení výstupů modulů UART na vstup procesoru je uvedeno na obrázku 7. Výstupní signály tx_half_full, tx_full, rx_data_present, rx_half_full a rx_full jsou sloučeny do jednoho registru s názvem uart_status_port. Spolu s rx_data jsou přes multiplexor připojeny na vstupní port in_port procesoru PicoBlaze. Multiplexor je ovládán nejnižším bitem adresovacího portu port_id. Tímto bitem je spolu se signálem read_strobe generován i řídící signál read_from_uart, který je přiveden na vstup UART přijímače read_buffer. To znamená, že při čtení dat z adres, pro které platí port_id(0) = 1, se přečte bajt z přijímacího modulu a zároveň se toto přečtení indikuje signálem read_from_uart. Obrázek 7 Připojení UART přijímače a vysílače na vstupní port procesoru VHDL kód odpovídající zapojení na obrázku 7 je uveden na obrázku 8. V kódu je patrné sloučení výstupních signálů modulů UART do 8bitového signálu uart_status_port. Proces input_ports pak vytváří dekódovací logiku pro vstupní port procesoru. Tento proces lze případně libovolně rozšířit podle požadavků dalších připojených periferií. 5/20

6 Obrázek 8 Připojení UART přijímače a vysílače na vstupní port procesoru ve VHDL Připojení výstupu procesoru PicoBlaze na vstupní signály modulu vysílače je na obrázku 9. Na vstup vysílače data_in je přímo připojen výstup out_port procesoru. Signál write_to_uart přivedený na vstup write_buffer vysílače je generován nejnižším bitem signálu port_id a signálem write_strobe. To znamená, že při zápisu dat na adresy, pro které platí port_id(0) = 1, se zapíše bajt do vysílacího modulu UART. Obrázek 9 Připojení UART vysílače na výstupní port procesoru VHDL kód odpovídající zapojení z obrázku 9 je uveden na obrázku 10. Proces output_ports obsahuje dekódovací logiku pro výstupního porty procesoru. Poslední příkaz na obrázku představuje generování signálu write_to_uart. Proces lze případně libovolně rozšířit podle požadavků dalších připojených periferií. 6/20

7 Obrázek 10 Připojení UART vysílače na výstupní port procesoru ve VHDL 2.4 Programové ovládání v procesoru PicoBlaze V této kapitole je popsáno vyslání a příjem znaků po sériové lince pomocí výše popsaných modulů. Deklarace konstant pro UART moduly je uvedena na obrázku 11. Konstanta status_port představuje adresu, na kterou je namapován registr uart_status_port. Konstanty tx_half_full, tx_full, rx_data_present, rx_half_full, rx_full jsou masky jednotlivých bitů pro tento registr. Konstanty UART_read_port a UART_write_port jsou adresy pro čtení a zápis dat do sériové linky. Dále je použit registr sf, označený UART_data, sloužící pro funkce pro příjem a vyslání ASCII znaku, což bude vysvětleno později. Obrázek 11 Deklarace konstant portů pro UART v programu procesoru 7/20

8 Ukázka deklarace konstant ASCII znaků je na obrázku 12. Obrázek 12 Deklarace konstant ASCII znaků v programu procesoru Funkce send_to_uart slouží k vyslání znaku po sériové lince. Před její voláním je nutné do registru UART_data zapsat hodnotu vysílaného ASCII znaku, viz fragment kódu na obrázku 13. Vlastní funkce send_to_uart je na obrázku 14. Funkce přečte UART_status_port a testuje bit tx_full indikující plnou paměť FIFO. Pokud je FIFO paměť plná, funkce čeká. V opačném případě skočí na návěští UART_write a vysílaný znak se zapíše do vysílacího modulu. Ten pak provede odeslání znaku. Obrázek 13 Odeslání ASCII znaku přes UART v programu procesoru Obrázek 14 Programové řešení odeslání znaku ASCII přes UART (převzato z [3]) Příjem ASCII znaku po sériové lince je podobný vysílání. Slouží k tomu funkce read_from_uart, která zapíše přijatý znak do registru UART_data. Příklad použití je na obrázku 15. Vlastní funkce read_from_uart je na obrázku 16. Opět se opakovaně čte UART_status_port a testuje bit rx_data_present. Pokud je v paměti FIFO přijímacího modulu k dispozici nový znak, skočí se na návěští read_character a přijatý znak se uloží do registru UART_data. V opačném případě funkce čeká. V obou případech vysílání a příjmu se tedy jedná o blokující operace. Obrázek 15 Funkce pro příjem ASCII znaku přes UART v programu procesoru 8/20

9 Obrázek 16 Programové řešení příjmu ASCII znaku přes UART (převzato z dokumentace [3]) 3. Tvorba IP jader pomocí Xilinx Core Generator Xilinx Core Generator je nástroj sloužící k vytváření IP jader pro FPGA. Generátor umožňuje generovat širokou řadu IP jader, a to od běžných FIFO pamětí až po specializované funkce jako jsou FIR filtry a PCI-X sběrnice. 3.1 Příklad použití Následující postup slouží jako ukázka práce s Core Generátorem. Posuvné registry různé délky jsou použity jako vzorový příklad. Po spuštění Core Generátoru klikněte v levém horním rohu na položku File a dále vyberte New Project. Otevře se vám nové okno kde zadáte název projektu a adresář, kde se má projekt vytvořit. V případě, že zadaný adresář pro uložení neexistuje, je třeba potvrdit jeho vytvoření v dalším okně. Tento celý postup znázorňuje obrázek 17. Obrázek 17 Založení nového projektu CORE Generátoru 9/20

10 Posledním oknem při zakládání projektu v Xilinx Core Generatoru je výběr FPGA čipu, pro které má být IP jádro vygenerováno. Toto okno je na obrázku 18. V našem případě byl vybrán čip Spartan 3E XC3S500E s pouzdrem FG320. Pro jiná FPGA je třeba zvolit parametry dle příslušné specifikace, viz Tabulka 2 z Lekce 2. Obrázek 18 Založení projektu -výběr čipu Jako generované IP jádro jsme zvolili jednoduchý posuvný registr (Shift Register). Toto IP jádro bude dále použito v příkladu připojení IP jádra k procesoru PicoBlaze (kapitola 4). Výběr IP jádra provedeme v levém horním podokně aplikace. V záložce View by Function vybereme kategorii Basic Elements a dále podkategorii Registers, Shifters. Zde se pak nachází námi zvolený RAMbased Shift Register, viz obrázek 19. Otevření konfiguračního dialogu generátoru pro vybrané jádro provedeme buď z kontextového menu položky nebo dvojklikem levým tlačítkem myši. Obrázek 19 Výběr IP jádra 10/20

11 Shift Register má volitelnou šířku datové sběrnice a hloubku registru. Podrobnější informace o IP jádru poskytuje Data Sheet, dostupný z pravého okna Core Generátoru (obrázek 19). Obrázek 20 Nastavení parametrů generovaného Shift Registru Při nastavování parametrů generovaného Shift Registru je třeba nejprve vyplnit název komponenty (Component Name). Název bude Delay_1024x18 1, a to proto, aby vygenerovaná komponenta byla kompatibilní s VHDL soubory přiloženými k této lekci a dala se dále použít při tvorbě projektu v ISE. Dále z parametrů v sektoru Clocking Options zaškrtneme položku signálu clock enable CE a v poslední části pak nastavit šířku Width a hloubku Depth pro generovaný Shift registr, viz obrázek 20. Tlačítkem Next se pak postupuje k dalším volitelným parametrům jádra. Na dalších dvou stranách vyplníme pouze položky Default Data a Asynchronous Init Value nulovými hodnotami a to dle šířky generovaného registru. V našem případě tedy 18 nul. Tlačítkem Finish se pak vygeneruje příslušná komponenta. 1 Při použití FITkitu je třeba vytvořit Shift Registr o velikosti pouze 256x18 s názvem delay_256x18 a to z důvodu menší velikosti čipu. 11/20

12 Obrázek 21 Výpis vygenerovaných souborů Touto cestou byla do zadaného adresáře vygenerována řada souborů k danému modulu. Jejich výpis i s krátkým popisem je vytvořen po ukončení generování, viz obrázek 21. Pro naše účely je potřeba soubor delay_1024x18.vho, obsahující šablonu připojení IP jádra k signálům (obrázek 22), a soubory *.ngc, *.xco a *.edn (*.edn nemusí být vygenerován, nemá to ale žádný vliv na implementaci příkladu), obsahující přeložené netlisty daného jádra. Obrázek 22 Popis připojení vygenerovaného modulu ve VHDL soubor delay_1024x18_v0.vho 12/20

13 3.2 Tvorba IP jader Výše uvedeným způsobem vytvořte dvě různá ip jádra. A to dva shift registry o velikostech 1024x18 2 bitů a 768x24 bitů. Názvy jader budou delay_1024x18 a delay_768x24. Tyto budou dále použity v příkladu v kapitole 4. Soubory vygenerovaných IP jader *.ngc, *.xco a *.edn (je-li vytvořen) je nutné pro další práci zkopírovat do složky projektu ISE, ve které se bude s daným IP jádry pracovat. 4. Připojení IP jádra k procesoru PicoBlaze Následující příklad ukazuje použití procesoru PicoBlaze na praktickém příkladě. Jedná se o tester IP jader vytvořených pomocí Xilinx Core Generatoru. Procesor PicoBlaze je připojen sériovou linkou RS232 k PC. Po zaslání příkazu z PC generuje PicoBlaze testovací vektory (sekvenční) a vkládá je na vstup IP jader, vyčítá data z výstupů IP jáder a tato data zasílá pomocí sériové linky zpět na PC terminál. Tímto postupem lze jednoduše ověřit funkčnost vytvořených IP jader. Příklady jsou k dispozici na přiloženém CD pro desky Spartan3E Starter Kit, ML402, ML403, a VUT FITkit. CD obsahuje pro každou desku dva balíčky. První ip_v0.zip obsahuje potřebné zdrojové soubory. Ty je třeba doplnit o vygenerovaná IP jádra, jejichž tvorba je popisována v kapitole 3. Druhý přiložený soubor ip_v0_done je kompletní projekt pro Xilinx ISE. Tento příklad byl vytvořen úpravou příkladu Video line stores [2] od Kena Chapmana. 4.1 Blokové schéma Příklad se skládá z PicoBlaze procesoru, jeho programové paměti a modulů pro sériovou komunikaci. Na vstupy a výstupy PicoBlaze procesoru jsou dále připojeny dvě IP jádra vytvořené pomocí Xilinx Core Generátoru. Obrázek 23 Vnější zapojení ip_tester.vhd 2 Při použití FITkitu je třeba vytvořit jádra menší a to velikostech 256x18 bitů a 128x24 bitů. Názvy jader budou delay_256x18 a delay_128x24. 13/20

14 Obrázek 24 Schéma zapojeni PicoBlaze procesoru a sériové komunikace - UART Jako testované jádro je použit Shift registr, viz výše. První testované jádro má datovou šířku 24 bitů a délku 768, druhé jádro má šířku 18 bitů a délku V příkladu jsou označovány jako ip1 a ip2. Obě jádra mají připojené signály CE (clock enable) k PicoBlaze procesoru pro HW krokování. Toto je realizováno signálem line_store_ce (obrázek 24 a 25). Data z výstupů IP jader jsou také čtena po 8 bitech, viz obrázek 25. Obrázek 25 Zapojené dva použité Shift Registry 4.2 Připojení IP jader Před samotným připojením vygenerovaného IP jádra je třeba připravit ISE projekt, do kterého se bude dané jádro vkládat. Z přiloženého CD si z adresáře pro váš přípravek zkopírujte a rozbalte soubor ip_v0.zip. Tato složka obsahuje téměř všechny soubory potřebné k vytvoření příkladu. Doplnit je třeba pouze soubory týkající se IP jader, a to zkopírováním *.ngc, *.xco (případně i *.edn) souborů přímo do adresáře projektu. Do té doby budou odkazy na komponenty ip1 a ip2 v projektu nefunkční. Postup generování IP jádra je popsán v kapitole /20

15 Soubory *.xco je pak nutné přidat do projektu ISE. Soubory *.ngc se do projektu nepřipojují, nicméně musejí být v adresáři projektu. Pro naše účely je dále důležitý soubor *.vho, zmiňovaný v kapitole 3. Tento soubor obsahuje fragment VHDL kódu s šablonou připojení modulu na signály. Obrázek 26 zobrazuje strukturu projektu s přidanými IP jádry. Obrázek 26 Struktura zkompletovaného projektu Při připojování IP jádra je nutné dodržet správný název jádra a jména jeho portů. V případě, že porty vygenerovaného IP jádra mají jiné názvy, je nutné poupravit příslušné části souboru ip_tester.vhd (viz obrázek 27 a obrázek 28) podle vygenerovaného souboru *.vho. Po těchto úpravách by měl strom souborů v projektu odpovídat obrázku 26. Obrázek 27 Vložení nové komponenty delay_1024x18_v0 15/20

16 Obrázek 28 Připojení portů HW modulu 4.3 Ovládání IP jádra V této kapitole se budeme věnovat popisu programu pro procesor PicoBlaze pro výše uvedený příklad. Vstupní a výstupní data pro oba moduly jsou z portů IP jádra čtena a zapisována po osmi bitech. Pro vyšší přehlednost jsou definovány konstanty, které slouží jako adresy jednotlivých částí vstupních a výstupních portů IP jádra, viz obrázek 29. Hodnoty adres jsou totožné s adresami definovanými ve VHDL kódu. Obrázek 29 Namapování vstupů a výstupů v PicoBlaze pro použité moduly 16/20

17 4.4 Otestování IP jádra v přípravku Pokud máme již vygenerovaný bitstream, který jsme nahráli do našeho kitu, můžeme přistoupit k testování programu. Testování modulu se provádí z PC pomocí připojené sériové linky. Nastavení sériové komunikace PC Hyperterminál. Po spuštění Windows HyperTerminálu je třeba nakonfigurovat nové připojení. To se provede nastavením příslušného portu, ke kterému je připojena sériová linka z přípravku, a dále pak nastavením rychlosti komunikace na bitů za sekundu, počtu datových bitů na 8, bez parity a s počtem stop-bitů na 1. Tento postup je popsán na obrázku 33. Obrázek 30 Nastavení Hyperterminálu na PC Po vytvoření nového připojení je třeba ještě vybrat položku vlastnosti, zde záložku Nastavení a na ní nastavit v kolonce Emulace hodnotu VT100. Posledním nastavením je na stejné záložce pod tlačítkem Nastavení ASCII zaškrtnutí položky Připojovat kód odřádkování za přicházející znaky. Tento postup je popsán na obrázku /20

18 Obrázek 31 Nastaveni vlastností Hyperterminálu Níže je uvedený seznam příkazu, které lze použít pro testování IP jádra: SET XXXXXX Zadání hodnoty 24 bitového vstupu do IP v hexadecimálním formátu CYCLE n Tester provede n kroků testu. N je decimálně zadaná hodnota AUTO ON nebo AUTO OFF ON v každém kroku inkrementuje hodnotu na vstupu IP. LED svítí OFF neinkrementuje hodnotu na vstupu IP. LED nesvítí FAST ON nebo FAST OFF ON v každém kroku vypisuje výstupy IP. OFF vypíše pouze poslední krok. RESET Inicializace: SET , AUTO ON, FAST OFF 18/20

19 Nyní lze ověřit funkčnost obou připojených IP jader. Příkazem cycle 770 je na vstup modulů postupně přivedena sekvence 770 vektorů. Po 768 cyklech je pak patrné postupné vybavování dat vložených od prvního cyklu dále, viz obrázek 32. Stejným způsobem pak ověříme funkčnost i druhého IP jádra (delay_1024x18). To provedeme příkazem cycle Zde je též patrné že po 1024 cyklech jsou vybavována data, vložená na začátku sekvence. Obrázek 32 Ukázka funkčnosti modulu delay_768x24_v0 Tímto jsme ukázali jak jednoduše a testovat moduly vytvořené nástrojem Xilinx Core generator. Tento příklad lze použít jako výchozí návrh pro komplexnější prostředí pro verifikaci IP jader. Program pro PicoBlaze je případně možné upravit, aby generoval složitější sekvence vstupních vektorů, nebo aby vstupní vektory byly závislé na aktuálních výstupech IP jádra. Je ale možné testovat pouze ta jádra, která mají vstupní signál CE. 19/20

20 5. Obsah a popis přiloženého balíku Ukládaná data jsou dělena dle jednotlivých lekcí. Všechny dostupné soubory pro tuto jsou tedy v adresáři PicoBlaze_Lekce3. Hotové projekty ip_v0_done byly vytvořeny v ISE i. PicoBlaze_Lekce3/ FITkit_VUT/ - ip_v0.zip - ip_v0_done.zip - ip_v0.bit s3esk/ - ip_v0.zip - ip_v0_done.zip - ip_v0.bit ML402/ - ip_v0.zip - ip_v0_done.zip - ip_v0.bit ML403/ - ip_v0.zip - ip_v0_done.zip - ip_v0.bit DOC/ - Picoblaze_lekce3.pdf Složka pro desku FITkit VUT Brno ZIP archív se zdrojovými soubory ZIP archív hotového projektu Bitstream pro FPGA Složka pro desku s3esk (Xilinx Starter Kit) ZIP archív se zdrojovými soubory ZIP archív hotového projektu Bitstream pro FPGA Složka pro vývojovou desku ML402 ZIP archív se zdrojovými soubory ZIP archív hotového projektu Bitstream pro FPGA Složka pro vývojovou desku ML403 ZIP archív se zdrojovými soubory ZIP archív hotového projektu Bitstream pro FPGA Tento dokument 6. Reference [1] Xilinx, Spartan-3E Starter Kit Board Design Examples [2] Xilinx, Spartan-3E Starter Kit Board Design Examples, video line stores [3] Xilinx, PicoBlaze Poděkování Tato práce byla podpořena projektem ministerstva školství a tělovýchovy číslo 2C06008, 20/20

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod... 2 2. Xilinx PicoBlaze... 2 2.1 Architektura procesoru...

Více

PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec

PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec Technická zpráva PicoBlaze lekce 4: Aplikace pro výuku asembleru procesoru PicoBlaze Jiří Svozil, Jaroslav Stejskal, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz, kafkal@utia.cas.cz Obsah 1. Úvod... 2 2.

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

MIDAM Simulátor Verze 1.5

MIDAM Simulátor Verze 1.5 MIDAM Simulátor Verze 1.5 Simuluje základní komunikační funkce modulů Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600. Umožňuje změny konfigurace, načítání

Více

Uživatelský manuál. KNXgw232

Uživatelský manuál. KNXgw232 KNXgw232 Uživatelský manuál verze 1.5 KNXgw232 slouží pro ovládání a vyčítání stavů ze sběrnice KNX RS232 s ASCII protokolem signalizace komunikace galvanické oddělení KNX - RS232 možnost napájení z KNX

Více

Popis programu EnicomD

Popis programu EnicomD Popis programu EnicomD Pomocí programu ENICOM D lze konfigurovat výstup RS 232 přijímačů Rx1 DIN/DATA a Rx1 DATA (přidělovat textové řetězce k jednotlivým vysílačům resp. tlačítkům a nastavovat parametry

Více

Použití UART a radia na platformě micro:bit

Použití UART a radia na platformě micro:bit Použití UART a radia na platformě micro:bit Jakub Vodsed álek Katedra měření Fakulta elektrotechnická České vysoké učení v Praze 25. června 2017 Obsah 1 Úvod 2 UART UART - úvod UART - výstup Prostý výpis

Více

MIDAM Verze 1.1. Hlavní okno :

MIDAM Verze 1.1. Hlavní okno : MIDAM Verze 1.1 Podporuje moduly Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600, Ghc 2x. Umožňuje nastavení parametrů, sledování výstupních nebo vstupních

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií

VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Fakulta informačních technologií Autor: Tomáš Válek, xvalek02@stud.fit.vutbr.cz Login: xvalek02 Datum: 21.listopadu 2012 Obsah 1 Úvod do rozhraní I 2 C (IIC) 1 2 Popis funkčnosti

Více

Komunikační protokol

Komunikační protokol Komunikační protokol verze dokumentu 8, pro firmware od verze 3.3 DALI232, DALI232e, DALInet, DALI2net y DALI RS232 / Ethernet ASCII protokol podpora MULTIMASTER signalizace připojení DALI sběrnice podpora

Více

Princip funkce počítače

Princip funkce počítače Princip funkce počítače Princip funkce počítače prvotní úlohou počítačů bylo zrychlit provádění matematických výpočtů první počítače kopírovaly obvyklý postup manuálního provádění výpočtů pokyny pro zpracování

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 8

PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 8 UNIVERZITA TOMÁŠE BATI VE ZLÍNĚ FAKULTA APLIKOVANÉ INFORMATIKY PROGRAMOVÁNÍ MIKROPOČÍTAČŮ CVIČENÍ 8 Využití sériové komunikace Jan Dolinay Petr Dostálek Zlín 2013 Tento studijní materiál vznikl za finanční

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1.

Více

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT

Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram. III / 2 = Inovace a zkvalitnění výuky prostřednictvím ICT Škola Číslo projektu Číslo a název šablony klíčové aktivity Tematická oblast Název sady Téma Anotace Autor Střední průmyslová škola a Vyšší odborná škola, Hrabákova 271, Příbram CZ.1.07/1.5.00/34.0556

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Instalační a uživatelská příručka aplikace VHDT

Instalační a uživatelská příručka aplikace VHDT Instalační a uživatelská příručka aplikace VHDT Jan Matějů 16. ledna 2013 1 Požadavky Ke spuštění aplikace je nutné mít v počítači nainstalované běhové prostředí Java. Doporučena je verze Oracle JRE 6

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

9. Rozšiřující desky Evb_Display a Evb_keyboard

9. Rozšiřující desky Evb_Display a Evb_keyboard 9. Rozšiřující desky Evb_Display a Evb_keyboard Čas ke studiu: 2-3 hodiny Cíl Po prostudování tohoto odstavce budete něco vědět o Výklad Zobrazovacích displejích Principu činnosti a programování čtyřřádkového

Více

Základní popis Toolboxu MPSV nástroje

Základní popis Toolboxu MPSV nástroje Základní popis Toolboxu MPSV nástroje Nástroj XLS2DBF ze sady MPSV nástroje slouží pro zkonvertování souboru ve formátu XLS do formátu DBF. Nástroj umožňuje konvertovat buď vybraný list nebo listy ze sešitu

Více

Obslužný software. PAP ISO 9001

Obslužný software.  PAP ISO 9001 Obslužný software PAP www.apoelmos.cz ISO 9001 červen 2008, TD-U-19-20 OBSAH 1 Úvod... 4 2 Pokyny pro instalaci... 4 2.1 Požadavky na hardware...4 2.2 Postup při instalaci...4 3 Popis software... 5 3.1

Více

Uživatelský manuál. KNXgal. řízení zabezpečovacích ústředen. Galaxy ze sběrnice KNX. napájeno ze sběrnice KNX. indikace komunikace na KNX

Uživatelský manuál. KNXgal. řízení zabezpečovacích ústředen. Galaxy ze sběrnice KNX. napájeno ze sběrnice KNX. indikace komunikace na KNX KNXgal Uživatelský manuál verze 1.2 řízení zabezpečovacích ústředen Galaxy ze sběrnice KNX napájeno ze sběrnice KNX indikace komunikace na KNX a s ústřednou Galaxy montáž na DIN lištu (1 modul) nastavitelné

Více

SEMESTRÁLNÍ PROJEKT Y38PRO

SEMESTRÁLNÍ PROJEKT Y38PRO SEMESTRÁLNÍ PROJEKT Y38PRO Závěrečná zpráva Jiří Pomije Cíl projektu Propojení regulátoru s PC a vytvoření knihovny funkcí pro práci s regulátorem TLK43. Regulátor TLK43 je mikroprocesorový regulátor s

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

Uživatelský manuál. Program OK MIFARE je program pro čtení a zápis dat na karty Mifare S50 (1k) na karty Mifare S70 (4k).

Uživatelský manuál. Program OK MIFARE je program pro čtení a zápis dat na karty Mifare S50 (1k) na karty Mifare S70 (4k). Uživatelský manuál Program OK MIFARE pro zápis/čtení karet MIFARE standard 1K a karet MIFARE 4K (určeno pro čtečku CARDMAN5x21) verze 3.0.0, revize dokumentu 14.9.08 Program OK MIFARE je program pro čtení

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Albatros MultiV ALBATROS MultiV ALBATROS MultiV-R Datový převodník LG PI485 / MODBUS TCP LG PI485 / MODBUS RTU s možností rozpočítávání spotřeby elekt

Albatros MultiV ALBATROS MultiV ALBATROS MultiV-R Datový převodník LG PI485 / MODBUS TCP LG PI485 / MODBUS RTU s možností rozpočítávání spotřeby elekt ALBATROS MultiV ALBATROS MultiV-R Datový převodník LG PI485 / MODBUS TCP LG PI485 / MODBUS RTU s možností rozpočítávání spotřeby elektrické energie Ing. Pavel Lašťovka 1 Revize 1.5 Obsah: 1. Popis převodníku...

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

Principy komunikace s adaptéry periferních zařízení (PZ)

Principy komunikace s adaptéry periferních zařízení (PZ) Principy komunikace s adaptéry periferních zařízení (PZ) Několik možností kategorizace principů komunikace s externími adaptéry, např.: 1. Podle způsobu adresace registrů, které jsou součástí adaptérů.

Více

Praktické úlohy- 2.oblast zaměření

Praktické úlohy- 2.oblast zaměření Praktické úlohy- 2.oblast zaměření Realizace praktických úloh zaměřených na dovednosti v oblastech: Měření specializovanými přístroji, jejich obsluha a parametrizace; Diagnostika a specifikace závad, měření

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus

Činnost CPU. IMTEE Přednáška č. 2. Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Činnost CPU Několik úrovní abstrakce od obvodů CPU: Hodinový cyklus fáze strojový cyklus instrukční cyklus Hodinový cyklus CPU je synchronní obvod nutné hodiny (f CLK ) Instrukční cyklus IF = doba potřebná

Více

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007

Měřič krevního tlaku. 1 Měření krevního tlaku. 1.1 Princip oscilometrické metody 2007/19 30.5.2007 Měřič krevního tlaku Ing. Martin Švrček martin.svrcek@phd.feec.vutbr.cz Ústav biomedicínckého inženýrství Fakulta elektrotechniky a komunikačních technologií VUT v Brně Kolejní 4, 61200 Brno Tento článek

Více

Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5

Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5 Rejstřík Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5 Úvod Správcovská aplikace slouží k vytvoření vstupního a zašifrovaného souboru pro odečtovou

Více

Vrstvy periferních rozhraní

Vrstvy periferních rozhraní Vrstvy periferních rozhraní Cíl přednášky Prezentovat, jak postupovat při analýze konkrétního rozhraní. Vysvětlit pojem vrstvy periferních rozhraní. Ukázat způsob využití tohoto pojmu na rozhraní RS 232.

Více

Modul IRZ návod k použití

Modul IRZ návod k použití Modul IRZ návod k použití Verze: 2 Datum: 26. 2. 2016 Tento dokument představuje stručný návod na použití modulu IRZ v programu EVI 8. Modul IRZ je určen na evidenci odpadů pro IRZ provozovny a hlášení

Více

Tužka s kamerou EKONOMY, 720x480px

Tužka s kamerou EKONOMY, 720x480px Tužka s kamerou EKONOMY, 720x480px Návod k obsluze Hlavní výhody přístroje: Nízká pořizovací cena Výdrž cca 90 minut kontinuálního záznamu www.spyshops.cz Stránka 1 1. Popis přístroje 1. Ovládací tlačítko

Více

Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5

Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5 Rejstřík Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5 Úvod Správcovská aplikace slouží k vytvoření vstupního a zašifrovaného souboru pro odečtovou

Více

Uživatelský manuál. KNX232e / KNX232e1k

Uživatelský manuál. KNX232e / KNX232e1k Uživatelský manuál verze dokumentu 1.2 (pro firmware od verze 2.1) KNX232e / KNX232e1k KNX232e slouží pro ovládání a vyčítání stavů ze sběrnice KNX sériová linka s ASCII protokolem signalizace komunikace

Více

Uživatelský manuál. KNXgal

Uživatelský manuál. KNXgal gal Uživatelský manuál verze 1.2 řízení zabezpečovacích ústředen Galaxy ze sběrnice napájeno ze sběrnice indikace komunikace na a s ústřednou Galaxy montáž na DIN lištu (1 modul) nastavitelné adresy na

Více

Uživatelská příručka

Uživatelská příručka Rele Control Elektronické ovládání výstupů Uživatelská příručka ver. 1.36 (09/02/2006) revize 07.10.2006 HW PROGRESS Milan Jaroš OBSAH: 1 Seznámení... 3 1.1 Určení... 3 1.2 Základní údaje... 3 1.3 Složení

Více

Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT

Laboratorní cvičení z předmětu Elektrická měření 2. ročník KMT MĚŘENÍ S LOGICKÝM ANALYZÁTOREM Jména: Jiří Paar, Zdeněk Nepraš Datum: 2. 1. 2008 Pracovní skupina: 4 Úkol: 1. Seznamte se s ovládáním logického analyzátoru M611 2. Dle postupu měření zapojte pracoviště

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

Panel IPP. Ovladač řídící jednotka

Panel IPP. Ovladač řídící jednotka Panel IPP Ovladač řídící jednotka Zobrazování plánu směny, plánu v čase a skutečně vyrobených výrobků Tempo výroby - výpočet průměru časů mezi výrobky Zobrazení přesného času a přestávek Funkce ovladače

Více

Skrytá kamera v peru TCT-SH03

Skrytá kamera v peru TCT-SH03 Skrytá kamera v peru TCT-SH03 Návod k obsluze Kontakt na dodavatele SHX Trading s.r.o. V Háji 15, Praha 7, 170 00 e-mail: podpora@spionazni-technika.cz www.spionazni-technika.cz 1 1. Popis přístroje 1.

Více

Hard r wa w ro r v o á ko n igu ig ra c řa ř dy d 100V a 200V

Hard r wa w ro r v o á ko n igu ig ra c řa ř dy d 100V a 200V Hardwarová konfigurace řady 100V a 200V Hardwarová konfigurace řady 100V a 200V Abstrakt Tento aplikační postup ukazuje na příkladu CPU 214-2BM02 hardwarovou konfiguraci VIPA CPU řad 100V a 200V ve vývojovém

Více

SNÍMAČOVÝ EXPANDÉR TB8.1x2 RS232 - ASCII

SNÍMAČOVÝ EXPANDÉR TB8.1x2 RS232 - ASCII KATALOGOVÝ LIST SNÍMAČOVÝ EXPANDÉR TB8.1x2 RS232 - ASCII 1. URČENÍ Jednotka TB8 je určena ke statickému měření s 1-8 indukčnostními snímači. Připojení jednotky k nadřízenému systému (PC, PLC) je sériovým

Více

Demoprojekt Damocles 2404

Demoprojekt Damocles 2404 Vizualizační a řídicí systém kategorie SCADA/HMI Demoprojekt Damocles 2404 (časově omezený demoprojekt pro zařízení Damocles 2404 společnosti HW group s.r.o.) Verze systému: 3.7.1.9 Poslední revize dokumentu:

Více

Studijní skupiny. 1. Spuštění modulu Studijní skupiny

Studijní skupiny. 1. Spuštění modulu Studijní skupiny Studijní skupiny 1. Spuštění modulu Studijní skupiny 2. Popis prostředí a ovládacích prvků modulu Studijní skupiny 2.1. Rozbalovací seznamy 2.2. Rychlé filtry 2.3. Správa studijních skupin 2.3.1. Seznam

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

SB485. Převodník rozhraní USB na linku RS485 nebo RS422. s galvanickým oddělením. Převodník SB485. RS485 nebo RS422 USB. přepínače PWR TXD RXD

SB485. Převodník rozhraní USB na linku RS485 nebo RS422. s galvanickým oddělením. Převodník SB485. RS485 nebo RS422 USB. přepínače PWR TXD RXD Převodník rozhraní USB na linku RS485 nebo RS422 s galvanickým oddělením Převodník SB485 PWR USB K1 TXD RXD K2 RS485 nebo RS422 přepínače POPIS Modul SB485 je určen pro převod rozhraní USB na linku RS485

Více

Uživatelský manuál. KNXpar

Uživatelský manuál. KNXpar KNXpar Uživatelský manuál verze 1.1 řízení zabezpečovacích ústředen Paradox ze sběrnice KNX napájeno ze sběrnice KNX indikace komunikace na KNX a s ústřednou Paradox montáž na DIN lištu (1 modul) nastavitelné

Více

Použití programovatelného čítače 8253

Použití programovatelného čítače 8253 Použití programovatelného čítače 8253 Zadání 1) Připojte obvod programovatelný čítač- časovač 8253 k mikropočítači 89C52. Pro čtení bude obvod mapován do prostoru vnější programové (CODE) i datové (XDATA)

Více

Technická zpráva. Zpracování akustických signálů pomocí FPGA. stejskal@utia.cas.cz. Revize Datum Autor Popis změn v dokumentu

Technická zpráva. Zpracování akustických signálů pomocí FPGA. stejskal@utia.cas.cz. Revize Datum Autor Popis změn v dokumentu Technická zpráva Zpracování akustických signálů pomocí FPGA Jaroslav Stejskal stejskal@utia.cas.cz Obsah 1. Úvod... 2 2. Obecný popis aplikace... 2 2.1 Příklad HW řešení systému Audio Processor... 3 2.2

Více

LabView jako programovací jazyk II

LabView jako programovací jazyk II LabView jako programovací jazyk II - Popis jednotlivých funkcí palety Function I.část - Expresní funkce, struktury, Ing. Martin Bušek, Ph.D. Paleta Functions Základní prvky pro tvorbu programu blokového

Více

1. Instalace programu LUPA

1. Instalace programu LUPA 1. Instalace programu LUPA Do CD mechaniky počítače vložte instalační CD. Objeví se tabulka, v níž instalaci spusťte kliknutím na řádek Instalace programu Lupa. Úvodní obrazovku s logem programu je nutno

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Testování ochrany při nesymetrickém zatížení generátoru terminálu REM 543

Testování ochrany při nesymetrickém zatížení generátoru terminálu REM 543 Testování ochrany při nesymetrickém zatížení generátoru terminálu REM 543 Cíle úlohy: Cílem úlohy je seznámit se s parametrizací terminálu REM543, zejména s funkcí ochrany při nesymetrickém zatížení generátoru.

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Řízení IO přenosů DMA řadičem

Řízení IO přenosů DMA řadičem Řízení IO přenosů DMA řadičem Doplňující text pro POT K. D. 2001 DMA řadič Při přímém řízení IO operací procesorem i při použití přerušovacího systému je rychlost přenosu dat mezi IO řadičem a pamětí limitována

Více

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím

UC485P. Převodník RS232 na RS485 nebo RS422. Průmyslové provedení s krytím Převodník RS232 na RS485 nebo RS422 Průmyslové provedení s krytím. UC485P Katalogový list Vytvořen: 21.1.2005 Poslední aktualizace: 5.5 2008 12:30 Počet stran: 16 2008 Strana 2 UC485P OBSAH Základní informace...

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Export tabulky výsledků

Export tabulky výsledků StatSoft Export tabulky výsledků Jelikož prezentace výsledků je důležitou součástí naší každodenní práce, ukážeme si tentokrát, jak exportovat tabulky výsledků nejen do MS Wordu. Také se může hodit vědět,

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

Nápověda k aplikaci EA Script Engine

Nápověda k aplikaci EA Script Engine Nápověda k aplikaci EA Script Engine Object Consulting s.r.o. 2006 Obsah Nápověda k aplikaci EA Script Engine...1 1. Co je EA Script Engine...2 2. Důležité upozornění pro uživatele aplikace EA Script Engine...3

Více

VComNet uživatelská příručka. VComNet. Uživatelská příručka Úvod. Vlastnosti aplikace. Blokové schéma. «library» MetelCom LAN

VComNet uživatelská příručka. VComNet. Uživatelská příručka Úvod. Vlastnosti aplikace. Blokové schéma. «library» MetelCom LAN VComNet Uživatelská příručka Úvod Aplikace VComNet je určena pro realizaci komunikace aplikací běžících na operačním systému Windows se zařízeními, které jsou připojeny pomocí datové sběrnice RS485 (RS422/RS232)

Více

Komunikační protokol

Komunikační protokol Komunikační protokol verze dokumentu 1 převodník DALI / Ethernet napájení PoE nebo 9-32V indikace komunikace na DALI montáž na DIN lištu (2 moduly) 1 www.foxtron.cz Komunikační protokol slouží pro ovládání

Více

Systém souborů (file system, FS)

Systém souborů (file system, FS) UNIX systém souborů (file system) 1 Systém souborů (file system, FS)! slouží k uchování dat na vnějším paměťovém médiu a zajišťuje přístup ke struktuře dat! pro uživatele možnost ukládat data a opět je

Více

Špionážní pero s kamerou, 720x480px

Špionážní pero s kamerou, 720x480px Špionážní pero s kamerou, 720x480px Návod k obsluze Hlavní výhody přístroje: Nízká pořizovací cena Výdrž cca 90 minut kontinuálního záznamu www.spionazni-technika.cz Stránka 1 1. Popis přístroje 1. Ovládací

Více

Dispatcher PDA Dokumentace

Dispatcher PDA Dokumentace Dispatcher PDA Dokumentace květen 2005 1 Obsah: 1. Základní popis programu 2. Blokové schéma zapojení 3.1. Úvodní obrazovka 3.2. Zahájení jízdy 3.3. Ukončení jízdy 3.4. Záznam o tankování 3.5. Události

Více

Body Mass Index 3. Instalace

Body Mass Index 3. Instalace OBSAH Popis... 2 Ovládání aplikace... 2 Provedení výpočtu... 3 Vytvoření tiskového výstupu (reportu)... 4 Přepnutí jazyka aplikace a vytváření nových jazykových souborů... 5 Přidání dalšího jazyka do aplikace...

Více

Vstupně - výstupní moduly

Vstupně - výstupní moduly Vstupně - výstupní moduly Přídavná zařízení sloužící ke vstupu a výstupu dat bo k uchovávání a archivaci dat Nejsou připojována ke sběrnici přímo, ale prostřednictvím vstupně-výstupních modulů ( ů ). Hlavní

Více

ZAŘÍZENÍ PRO VZDÁLENÝ SBĚR A PŘENOS DAT FIRMWARE

ZAŘÍZENÍ PRO VZDÁLENÝ SBĚR A PŘENOS DAT FIRMWARE 2011 Technická univerzita v Liberci Ing. Přemysl Svoboda ZAŘÍZENÍ PRO VZDÁLENÝ SBĚR A PŘENOS DAT FIRMWARE V Liberci dne 16. 12. 2011 Obsah Obsah... 1 Úvod... 2 Funkce zařízení... 3 Režim sběru dat s jejich

Více

Technická dokumentace ČTEČKA ČIPŮ DALLAS. typ DSRS2319 verze 1.2.

Technická dokumentace ČTEČKA ČIPŮ DALLAS. typ DSRS2319 verze 1.2. ČTEČKA ČIPŮ DALLAS typ DSRS2319 verze 1.2 www.aterm.cz 1 1. Úvod Tento výrobek byl zkonstruován podle současného stavu techniky a odpovídá platným evropským a národním normám a směrnicím. U výrobku byla

Více

UniLog-D. v1.01 návod k obsluze software. Strana 1

UniLog-D. v1.01 návod k obsluze software. Strana 1 UniLog-D v1.01 návod k obsluze software Strana 1 UniLog-D je PC program, který slouží k přípravě karty pro záznam událostí aplikací přístroje M-BOX, dále pak k prohlížení, vyhodnocení a exportům zaznamenaných

Více

2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena.

2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena. 2015 GEOVAP, spol. s r. o. Všechna práva vyhrazena. GEOVAP, spol. s r. o. Čechovo nábřeží 1790 530 03 Pardubice Česká republika +420 466 024 618 http://www.geovap.cz V dokumentu použité názvy programových

Více

Ing. Michal Martin. Spojení PLC CLICK s NA-9289

Ing. Michal Martin. Spojení PLC CLICK s NA-9289 Propojení PLC CLICK s NA-9289 Autor: Ing. Michal Martin Copyright TECON spol. s r. o., Vrchlabí, Česká republika Tato publikace prošla jen částečnou jazykovou korekturou. Tato publikace vznikla na základě

Více

RE3USB programovatelné USB relé

RE3USB programovatelné USB relé Základní vlastnosti RE3USB programovatelné USB relé 1. tři reléové výstupy s přepínacími kontakty pro spínání od malých napětí až po 230V AC 2. maximální proudové zatížení kontaktů: 15A při 120V AC či

Více

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Vytvoření projektu pro desku DE2 v Altera Quartus Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Obsah Vytvoření projektu pro desku DE2 v Altera Quartus...

Více

Analyzátor sériového rozhraní RSA1B

Analyzátor sériového rozhraní RSA1B Simulační systémy Řídicí systémy Zpracování a přenos dat Analyzátor sériového rozhraní RSA1B Návod k použití TM 07-02-08 OSC, a. s. tel: +420 541 643 111 Staňkova 557/18a fax: +420 541 643 109 602 00 Brno

Více

ČSOB Business Connector

ČSOB Business Connector ČSOB Business Connector Instalační příručka Člen skupiny KBC Obsah 1 Úvod... 3 2 Instalace aplikace ČSOB Business Connector... 3 3 Získání komunikačního certifikátu... 3 3.1 Vytvoření žádosti o certifikát

Více

MĚŘICÍ PŘÍSTROJ PRO PC. 4 VSTUPY: 0 10 V ZESÍLENÍ : 1x, 2x, 4x, 8x VÝSTUP: LINKA RS232 RS232 DRAK 4 U1 U2 U3 U4

MĚŘICÍ PŘÍSTROJ PRO PC. 4 VSTUPY: 0 10 V ZESÍLENÍ : 1x, 2x, 4x, 8x VÝSTUP: LINKA RS232 RS232 DRAK 4 U1 U2 U3 U4 MĚŘICÍ PŘÍSTROJ PRO PC 4 VSTUPY: 0 10 V ZESÍLENÍ : 1x, 2x, 4x, 8x VÝSTUP: LINKA RS232 U1 U2 U3 U4 DRAK 4 RS232 POPIS Měřicí přístroj DRAK 4 je určen pro měření napětí až čtyř signálů a jejich přenos po

Více

Komunikace modulu s procesorem SPI protokol

Komunikace modulu s procesorem SPI protokol Komunikace modulu s procesorem SPI protokol Propojení dvouřádkového LCD zobrazovače se sběrnicí SPI k procesotru (dále již jen MCU microcontroller unit) a rozložení pinů na HSES LCD modulu. Komunikace

Více

Uživatelský manuál. Format Convert V3.1

Uživatelský manuál. Format Convert V3.1 Uživatelský manuál Format Convert V3.1 Obsah Obsah 1 Kapitola 1 - Popis softwaru Systémové požadavky 2 Podporovaná zařízení a formáty 2 Odinstalace 3 Kapitola 2 - Ovládání Výběr formátu souboru 4 Výběr

Více

TCP-Wedge ZDARMA. Přidává podporu TCP/IP: Sběr dat z adres portu IP na libovolné síti TCP/IP - ethernet / internet.

TCP-Wedge ZDARMA. Přidává podporu TCP/IP: Sběr dat z adres portu IP na libovolné síti TCP/IP - ethernet / internet. Katalogový list www.abetec.cz Software WinWedge Professional pro sběr dat 15-1003E Obj. číslo: 106001285 Výrobce: Mark-10 Corporation Anotace Přenáší data do libovolného programu Windows. Poskytuje plný

Více

Connect Genius V2. Instalace programu.

Connect Genius V2. Instalace programu. Connect Genius V2 Program připojíte k PC přes RS 232. Instalace programu. Vložte CD do PC a automaticky se nabídne instalační program. Otevřete instalační program a klikněte dvojklikem na setup.exe a program

Více

UŽIVATELSKÝ MANUÁL. pro 485COM FW 2.x (MODBUS)

UŽIVATELSKÝ MANUÁL. pro 485COM FW 2.x (MODBUS) pro 485COM FW 2.x (MODBUS) Obsah Obsah 3 1. Instalace 4 1.1 Podpora operačních systémů 4 1.2 Podpora USB modemů 4 1.3 Instalace USB modemu 4 1.4 Instalace aplikace 4 2. Nastavení 5 2.1 Nastavení jazykové

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

TW15 KONCOVÝ PRVEK MSKP. Popis výrobku Technická data Návod k obsluze. Technologie 2000 s.r.o., Jablonec nad Nisou

TW15 KONCOVÝ PRVEK MSKP. Popis výrobku Technická data Návod k obsluze. Technologie 2000 s.r.o., Jablonec nad Nisou TW15 KONCOVÝ PRVEK MSKP Popis výrobku Technická data Návod k obsluze Technologie 2000 s.r.o., Jablonec nad Nisou Obsah: 1. CHARAKTERISTIKA... 3 2. TECHNICKÉ PARAMETRY... 4 2.1 VÝROBCE:... 4 3. POPIS TW15ADAM...

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

Přerušovací systém s prioritním řetězem

Přerušovací systém s prioritním řetězem Přerušovací systém s prioritním řetězem Doplňující text pro přednášky z POT Úvod Přerušovací systém mikropočítače může být koncipován několika způsoby. Jednou z možností je přerušovací systém s prioritním

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

1 Uživatelská dokumentace

1 Uživatelská dokumentace 1 Uživatelská dokumentace Systém pro závodění aut řízených umělou inteligencí je zaměřen na závodění aut v prostředí internetu. Kromě toho umožňuje testovat jednotlivé řidiče bez nutnosti vytvářet závod

Více

Návrh konstrukce odchovny 3. dil

Návrh konstrukce odchovny 3. dil 1 Portál pre odborné publikovanie ISSN 1338-0087 Návrh konstrukce odchovny 3. dil Pikner Michal Elektrotechnika 16.02.2011 V minulém díle jsme se seznámily s elektronickým zapojením. Popsali jsme si principy

Více

Základní popis Toolboxu MPSV nástroje

Základní popis Toolboxu MPSV nástroje Základní popis Toolboxu MPSV nástroje Nástroj XLS2DBF ze sady MPSV nástroje slouží pro zkonvertování souboru ve formátu XLS do formátu DBF. Nástroj umožňuje konvertovat buď vybraný list nebo listy ze sešitu

Více

UniLog-L. v0.81 návod k obsluze software. Strana 1

UniLog-L. v0.81 návod k obsluze software. Strana 1 UniLog-L v0.81 návod k obsluze software Strana 1 UniLog-L je PC program, který slouží k přípravě karty pro záznam logických průběhů aplikací přístroje M-BOX, dále pak k prohlížení a vyhodnocení. Popis

Více

Software pro vzdálenou laboratoř

Software pro vzdálenou laboratoř Software pro vzdálenou laboratoř Autor: Vladimír Hamada, Petr Sadovský Typ: Software Rok: 2012 Samostatnou část vzdálených laboratoří tvoří programové vybavené, které je oživuje HW část vzdáleného experimentu

Více