VŠB Technická Univerzita Ostrava Fakulta Elektrotechniky a Informatiky Katedra Informatiky. Srovnání vývojových prostředí pro návrh pomocí VHDL

Rozměr: px
Začít zobrazení ze stránky:

Download "VŠB Technická Univerzita Ostrava Fakulta Elektrotechniky a Informatiky Katedra Informatiky. Srovnání vývojových prostředí pro návrh pomocí VHDL"

Transkript

1 VŠB Technická Univerzita Ostrava Fakulta Elektrotechniky a Informatiky Katedra Informatiky Srovnání vývojových prostředí pro návrh pomocí VHDL Květen 2008 Kratochvíl Karel

2 Poděkování Děkuji Mgr. Pavlu Moravčíkovi za odborné vedení bakalářské práce, za jeho hodnotné rady a poskytnutí potřebných podkladů pro tuto bakalářskou práci.

3 Prohlášení Prohlašuji, že tato bakalářská práce je mým původním autorským dílem, které jsem vypracoval samostatně. Nemám závažný důvod proti užití tohoto školního díla ve smyslu 60 Zákona č.121/2000 Sb., o právu autorském, o právech souvisejících s právem autorským a o změně některých zákonů (autorský zákon). V Ostravě dne... Karel Kratochvíl

4 Abstrakt Tato bakalářská práce se zabývá srovnáváním vývojových prostředí pro návrh pomocí jazyka VHDL. Popisuje vývojová prostředí konkrétních výrobců z hlediska technologie FPGA. Dále ukazuje, jak se vytvořený jednoduchý model chová v těchto vývojových prostředích a popisuje výhody a nevýhody jednotlivých prostředí. Dále může také sloužit, jako pomůcka pro začátečníky, kteří se chtějí naučit s jednotlivými prostředími určenými pro VHDL pracovat. Součástí práce je taky návrh čtyřbitového jednosměrného čítače, který je doplněn dekodérem stavů na 7-segmentový displej. Klíčová slova : FPGA, VHDL, LIBERO IDE, ACTEL, ATMEL, XILLINX, QUICKLOGIC, HRADLOVE POLE,

5 Abstract This baccalaureate work deal with juxtaposition evolutionary environment for proposal by the help of language VHDL. Describes evolutionary environment concrete producers on the part of technology FPGA. Describes evolutionary environment concrete producers on the part of technology FPGA. Further shows, how created simple pattern of behaviour in these evolutionary environments and describes benefits and disavantages single environment. Further is able to also be of service, like help for beginners that the want to learn with single environments, intended for VHDL work. Part of work is so proposal four - bit unidirectional scaler that the be coupled decoder states on 7-segment display. Key words: FPGA, VHDL, LIBERO IDE, ACTEL, ATMEL, XILLINX, QUICKLOGIC, HRADLOVE POLE,

6 Obsah 1. Úvod Jazyk VHDL Popis jazyka VHDL Základní vlastnosti VHDL Struktura modelu jazyka VHDL Jednotlivé etapy tvorby aplikace do FPGA Programovatelná logická pole FPGA... 4 Vnitřní struktura FPGA různých výrobců Výrobce Altera Výrobce Xilinx Výrobce Actel Výrobce Quicklogic Vývojové prostředí Vývojové prostředí Libero od Actel Vývojové prostředí ISE WebPack 9.2i od Xilinxu Quartus II Web Edition Software Version Další vývojové protředí Výhody a nevýhody vývojových prostředí Implementace a testování čtyřbitového jednosměrného čítače Rozbor úlohy Realizace úlohy Ukázka časového průběhu Výsledky Syntézy ve vývojových prostředích Libero, ISE WebPACK a Quartus Závěr Použitá literatura... 24

7 Úvod 1. Úvod Číslicové systémy tvoří v současné době převážnou většinu elektronických zařízení. Jedná se o personální počítače, systémy na zpracování signálu, řídící systémy v automobilech, televizní přijímače atd., až po jiná zařízení, která se vyskytují v domácnosti. K takovému nárůstu použití číslicových systémů přispělo, hned několik vlivů. Číslicové systémy pracují s číslicovými signály. Tyto signály mají tu vlastnost, že nabývají jen konečného počtu hodnot a tak se liší od signálů analogových, které jsou spojité a nabývají nekonečného počtu hodnot. Tyto rozdíly jsou podstatné pro snížení nároků na přesnost obvodů a tím i na cenu a možnost dosažení vysokého stupně integrace. S rostoucí složitostí číslicových systémů nabývají na důležitosti prostředky pro jejich počítačový návrh a simulaci EDA (Elektronic Design Aid). Počítačové zpracování vychází, převážně z popisu systému některým speciálním jazykem HDL (Haedware Description Language). V dnešní době jsou známy a nejrozšířenějšími jazyky jsou VHDL a Verilog. V této bakalářské práci se zabývám jazykem pro hardwarový návrh VHDL. Pro práci s tímto jazykem existuje mnoho vývojových prostředí, většinou určených pro programovatelná logická hradlová pole (FPGA) jednoho konkrétního výrobce. Existuje však i vývojové prostředí zvané (např. Leonardo Spectrum), které umí pracovat s FPGA různých výrobců. Bakalářskou práci jsem rozdělil do několika kapitol. A to na popis programovatelného logického pole FPGA, popis struktury FPGA různých výrobců, které se od sebe liší svojí technologií a popis existujících vývojových prostředí. Úkolem bakalářské práce bylo sestrojení jednoduchého obvodu, simulací a popisem syntézy ve vývojových prostředích pro hardwarový návrh VHDL. Dalším úkolem, bylo srovnání výhod a nevýhod vývojových prostředí, určených pro programovatelné logická pole FPGA. K úspěšnému využívání vývojových prostředí jsou potřebné alespoň základní znalosti, proto jsem se rozhodl některé z nich popsat, aby mohla moje bakalářská práce popřípadě sloužit jako příručka pro začátečníky, kteří se chtějí naučit ve vývojových prostředích pro logická pole FPGA pracovat. 1

8 Jazyk VHDL 2. Jazyk VHDL 2.1 Popis jazyka VHDL VHDL je zkratka z VHSIC Hardware Description Language (jazyk pro popis hardware), kde VHSIC je zkratka z Very-High-Speed Integrated Circuit (velni rychlé integrované obvody). Jazyk VHDL je jazyk na vysoké úrovně navržený speciálně pro účely popisu a simulace velmi rozsáhlých číslicových obvodů a systémů. Jedná se o programovací jazyk, který popisuje hardware. Výhodou tohoto jazyka jsou bohaté vyjadřovací schopnosti a značná nezávislost číslicového systému popsaného jazykem VHDL na cílové technologii jeho realizace. Nejčastější použití je k návrhu obvodů pro programovatelná hradlová pole (FPGA). Od roku 1987 je VHDL standardem IEEE a byl revidován v roce Jazyk VHDL má prostředky pro popis paralelismu, konektivity a explicitní vyjádření času. Jazyk VHDL byl vyvinut pro modelování a simulaci rozsáhlých systému, které se mají vyrábět. Vedle jazyka VHDL se ještě setkáme také s jazykem Verilog, který má podobné použití. 2.2 Základní vlastnosti VHDL Je třeba zdůraznit, že popis číslicového systému v jazyce VHDL je činnost značně odlišná od programování v klasických programovacích jazycích typu C nebo Pascal. Při popisu číslicového systému jazykem VHDL je důležité myslet na to, že popisujeme číslicový systém, který většinou chceme realizovat. Tzn., že námi vytvořený odbude muset nakonec projít syntézou, jejímž výsledkem bude zapojení z hradel a klopných obvodů učené pro programovatelný logický obvod [1]. * Jedná se o otevřený standard k jeho použití pro tvorbu návrhových systémů není potřeba licence jeho vlastníka, jakož je to u jiných HDL jazyků. Díky tomu je tento jazyk v návrhových systémech často používán.[ 2 ] * Dovoluje pracovat na návrhu obvodu, aniž je zvolen cílový obvod. Tento cílový obvod může být zvolen až, když jsou známy definitivní požadavky na prostředí, v němž má navrhovaný systém pracovat. [ 2 ] * Umožňuje provádět simulaci navrženého obvodu na základě téhož zdrojového textu, který se pak použije pro syntézu a implementaci cílového obvodu. Zdrojový text lze zpracovávat v různých simulátorech a v syntetizérech různých výrobců. [ 2 ] 2.3 Struktura modelu jazyka VHDL Konstrukce neboli (model) má v jazyce VHDL dvě základní části: Deklarace entity a tělo architektury. Entita popisuje rozhraní (vstupy a výstupy) objektu, který může představovat pouhé logické hradlo, celý obvod nebo velký systém. Entita také může obsahovat identifikátory, jimiž lze entitu parametrizovat. Entitu můžeme přirovnat ke schematické značce, která pojmenovává vstupy a výstupy, definuje jejich typ a směr přenosu dat. Architektura definuje vlastní chování a funkci entity (např. vztahy mezi porty entity). Architektura též definuje vnitřek entity. Architektura je tzv. sekundární (závislá) návrhová jednotka. Každá entita musí mít, vždy alespoň jednu architektura z toho plyne, že je možné ke každé entitě definovat více architektur. Takže architektura stejné entity musí mít jiné jméno (identifikátor). 3

9 Jazyk VHDL 2.4 Jednotlivé etapy tvorby aplikace do FPGA 1. Návrh v prvním kroku etapy je potřeba vytvořit si teoreticky rozbor dané úlohy, aby bylo možné zadanou úlohu dále naprogramovat. 2. Simulace v této etapě, probíhá kontrola, zda je daný kód správně naprogramovaný a po jeho úspěšném zkompilování lze provést simulaci. Simulace je možnost, že se lze předem podívat na časový průběh, jak bude daný naprogramovaný program fungovat na hradlovém poli. 3. Syntéza v tomto kroku etapy se mapují virtuální logické prvky popsané jazykem pro hardwarový návrh na základní logické prvky, jenž obsahuje konkrétní programovatelné logické pole. 4. Implementace v posledním kroku etapy se děje to, že po úspěšné kompilaci, simulaci a syntéze lze naprogramovaný kód ve vývojovém prostředí převést na potřebný typ hradlového pole. 3

10 Logická pole FPGA 3. Programovatelná logická pole FPGA Obvody architektury FPGA patří do skupiny elektricky reprogramovatelných PLD obvodů a prakticky všechny FPGA obvody jsou přímo v cílovém systému, nesou tedy označení ISP. Obvody architektury FPGA jsou založeny na malých generátorech logických funkcí s paměťmi (LUT tabulkách), klopných obvodech a mnoha horizontálních a vertikálních propojeních.[ 1 ] Nynější FPGA obsahují několik stovek tisíc LUT tabulek i klopných obvodů. U architektury FPGA se používá technologie SRAM (obvody firem Altera, Xilinx a Lattice Semiconductor). Další výrobci používají technologie na bázi průrazu izolantu Anti Fuse (firma Actel). Obvody architektury FPGA kombinují výhody PLD obvodů s výhodami plně zákaznických VLSI obvodů a umožňuje implementaci rozsáhlých číslicových systémů. Díky tomu, že zákaznické obvody jsou si plně podobné, využívá se dnes pro návrh a simulaci jak FPGA obvodů, tak obvodů plně zákaznických. Velkou výhodou FPGA obvodů je i poměrně snadný přechod s návrhem k plně zákaznickým obvodům, jestliže byl ozkoušen v obvodu FPGA. Blokové schéma FPGA obvodu je na obr. 1. Obr.1 - Blokové schéma FPGA [ 1 ] 4

11 Logická pole FPGA Základ obvodu tvoří tři stavební prvky: 1. Programovatelné logické obvody jsou tvořeny: - Logickými prvky - Lokálním propojovacím polem 2. Programovatelné horizontální a vertikální propojení 3. Programovatelné vstupní/výstupní bloky K těmto základním prvkům přibyly ještě v poslední době tzv. specializované bloky, k nimž patří násobičky, paměti, bloky na úpravu hodinových signálů aj. Jmenované prvky mají u různých výrobců různá označení, ale jejich funkce je podobná. Logické bloky obsahují několik logických prvků a lokální propojovací pole, které tyto logické prvky může propojovat mezi sebou a umožňuje jejich propojení s logickými prvky v nejbližších logických blocích. V logických prvcích lze realizovat jednoduché kombinační nebo sekvenční obvody. Na obrázku 2 je znázorněno blokové schéma logického prvku. Obr.2 Příklad blokového schématu logického prvku [ 1 ] 5

12 Vnitřní struktura FPGA Vnitřní struktura FPGA různých výrobců V dnešní době existuje mnoho výrobců, kteří se zabývají programovatelnými logickými poli. V mé bakalářské práci jsem popsal, největší a nejznámější výrobce FPGA obvodů, mezi tyto výrobce patří firmy Altera, Xilinx, Actel, Quicklogik. Dále jsou i firmy, které nejsou tak známy, proto jsem je ve své práci nepopisoval. Mezi tyto firmy patří Atmel, Cypress Semiconductor, Achromix, Lattice Semiconductor, Aldec Výrobce Altera Společnost Altera je průkopníkem programovatelných řešení logiky, která umožňuje systému a společnosti, vyrábět rychle polovodiče za příznivé ceny. Po vynaleznutí technologie v roce 1983, je Altera stále v popředí programovatelné logiky. Dnes, Altera nabízí FPGAs, CPLDs, ASICs. Firma také nabízí softwarovou soupravu Quartus II, která je zaměřena na design a simulaci logických obvodů. Jedná se o softwarový nástroj, který Altera produkuje pro analýzu a syntézu HDL návrhu, který slouží vývojáři k tomu, aby sestavoval návrhy, vykonával časovou analýzu, zkoumal RTL schémata, simuloval reakci na design a konfiguroval cílová zařízení s programátory. Ačkoli jejich softwarová souprava značně podporuje VHDL a Verilog jako primarní jazyky, Altera je také vývojářem Hardwarového jazyku známého jako AHDL. Altera dělí své zařízení na výkonné a levné třídy FPGA. Do výkonných tříd patří všechny zařízení Stratix a do levné třídy Arria, Cyclone. Stratix, Stratix II, Stratix III Stratix FPGAs je Alterovou první generací FPGAs. Stratix FPGAs se používal pro armádní a letecké aplikace, kde byl požadován široký operační rozsah teplot. Stratix II FPGAs je zařízení uvádějící Alterovu patentovanou technologii, která zvyšuje a snižuje přístrojové výdaje. Stratix II FPGAs je optimalizován pro celkovou přístrojovou sílu. Stratix III FPGAs poskytuje vysoký výkon a vysoké schopnosti integrace potřebné pro základní stavy příští generace,sít infrastruktury a pokročilé zobrazovací vybavení. Stratix GX, Stratix II GX Stratix GX je určen pro vysokorychlostní aplikace, které potřebují spolehlivou technologii přenosu dat. Stratix II GX je Alterovou třetí generací FPGAs se začleněnými kombinacemi přístroje. Poskytuje bohatý roztok pro rostoucí množství aplikací a protokolů vyžadující multi gigabit seriový I/O. Tyto kombinace přístroje poskytují robustní odolnost proti rušení a chvění. Arria Je Alterovou odpovědí jak snadno spojit existující jednotky a zařízení nových čipů podporovány PCI Expres, Gigabit Ethernet a Seriál RapidIO protokoly. 6

13 Vnitřní struktura FPGA Cyclone, Cyclone II, Cyclone III Cyclone série FPGAs jsou tzv. postaveny ze země nahoru pro svoji nízkou cenu. Tyto levná zařízení poskytují aplikaci soutředěné rysy jako jsou začleněná pamět, externí připojení paměti a hodinové schéma. Cyclone II zařízení zahrnují FPGA rys, který stanový levné aplikace včetně širokého okruhu hustoty, paměti. Podporují široký okruh běžných externích připojení pamětí a I/O protokoly běžných a levných aplikací. Cyclone III nabízí nebývalé kombinace malého výkonu, vysoké funkčnosti a nízké ceny k tomu, aby maximalizovala konkurenční okraj. Jsou vyráběny pro používání Tchajwanského Semiconductor Manufacturing Company Výrobce Xilinx Firma Xilinx je největším světovým výrobcem programovatelných logických polí. Xilinx má bezkonkurenčně nejširší sortiment. Všechny FPGA Xilinx používají konfiguraci pomocí statické paměti RAM. To znamená, že po připojení napájení je nutné vždy nahrát konfiguraci znovu. Výhodou tohoto řešení je téměř nekonečná reprogramovatelnost FPGA a také rychlost. Konfigurační propojky pracují na principu paměti RAM jsou rychlejší než přepínače založené na principu např.eeprom. Pro využití obvodů FPGA u nás je důležitý údaj o podpoře ve vývojovém systému WebPACK. Firma Xilinx nabízí svůj vývojový systém v několika verzích. Řada XC2000 Historicky první FPGA, v současnosti se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich postaveny (samozřejmě s řadou zásadních inovací ) i nejnovější FPGA. Řada XC3000 (A) / XC3100 (A) Se stala na začátku 90.let prakticky průmyslovým standardem. Řada XC4000 (A / D / E / ) Přinesla zásadní novinky v architektuře FPGA. Výsledkem je vysoká flexibilita hustota a integrace. Jejími přímými následníky, v mnoha ohledech kompatibilními jsou nové preferované řady Spartan a Virtex. Řada XC5200 Byla optimalizována na nízkou cenu při zachování všech důležitých vymožeností. 7

14 Vnitřní struktura FPGA Spartan, Spartan II, Spartan IIE Doporučen pro nové konstrukce. Nabízí velmi nízkou cenu při hustotě logiky do 600 tisíc ekvivalentních hradel. Spartan Je první druh zboží v nízké cenové skupině FPGAs, díky čipovým RAM a široké podpoře pro předdeklarovaná jádra. Produkty jsou dostupné v hustotě v rozsahu od systémových hradel. Spartan II Je implementovaný s regulérní, flexibilní programovatelnou architekturou Configurable Logic Block(CLBs), který je obklopen programovatelnými vstupními a výstupními bloky (IOBs), spojeny vzájemně silnou hierarchií všestranných směrujících zdrojů. Architektura také poskytuje pokročilé funkce jako Block RAM a hodinové řídící bloky. Spartan IIE Dává rychlý, spolehlivý výkon, a nejnižší cenu za IO v průmyslu. Zde už není žádná rychlejší, bezpečnější, nebo nižší cenová cesta jak vyvinout spotřební zboží příští generace. Doporučuje flexibilní řešení designu, které můžete přeprogramovat jak v laboratoři, tak i u zákazníka na místě. Virtex V dnešní době nejdokonalejší FPGA na světě. Nabízejí obrovskou hustotu logiky až miliony ekvivalentních hradel při zachování mimořádného vysokého výkonu. 8

15 Vnitřní struktura FPGA 3.3 Výrobce Actel Firma ACTEL vyvíjí FPGA, které jsou používány v komerční, průmyslové, armádní a vesmírné aplikaci. Zabývá se dvěma technologiemi, jsou to Antifuse (řada Axcelerator) a Flash (řada ProASIC). Antifluse technologie poskytuje mnohem vyšší využití křemíkové podložky, jelikož se jedná o jednotlivé spoje mezi jednotlivými moduly a jsou realizovány ve druhé a třetí metalizační úrovni. Obr. 3 Antifluse technologie [ 4 ] Tímto způsobem je možné vypustit propojovací kanály mezi řadami buněk a využít i tuto plochu křemíku pro síť logických modulů. Toto opatření nese sebou i menší rozměry daných čipů a i jeho nižší pořizovací cenu. Propojení mezi logickými moduly umožňují propojovací elementy Kov Kov. Tyto elementy bývají vloženy mezi vrstvy metalizace. Jestliže, nejsou elementy naprogramovány, nacházejí se ve stavu rozpojeném. Po naprogramování elementů se vytvoří vodivé spojení. Vlastní propojka je vytvořena kombinací amorfního křemíku, dielektrického materiálu a kovu (wolfram). Při programování dojde k průrazu dielektrické vrstvy a vytvoří se tak vodivý spoj s odporem asi 25Wa s kapacitou asi 1,6 ff. Extrémně malé rozměry propojovacího elementu umožňují jejich vysokou koncentraci na čipu a tudíž i vysoké využití plochy čipu. Navíc tyto propojky poskytují jedinečnou ochranu před kopírováním, neboť se neprovádí žádná konfigurace z přídavné paměti. Z výše uvedeného vyplývá, že kapacita a odpor spojek technologie Antifuse včetně obou metalizací jsou výrazně nižší než u jiných použitelných technologií. Řada SX Jedná se o vysoce rychlou řadu, která je dána totální odlišnou architekturou od standardních FPGA. Odlišná je především v těchto bodech: - programovatelnými propojkami - jiným typem logické buňky a jejího uspořádaní - vlastním uspořádáním čipu 9

16 Vnitřní struktura FPGA Řada MX Je tvořena řadami A40MX a A42MX a jsou postaveny na technologii CMOS, které umožňují při napájení 5V dosažení plného výstupního rozkmitu. Řada ProAsic Je vyráběna standardní čtyřvrstvou Flash/CMOS technologií, která kombinuje vysokou hustotu hradel s malou spotřebou a díky Flash disponuje permanentním a přitom reprogramovatelným uložením konfiguračních dat přímo na čipu. A snaží se též konkurovat konvečním neprogramovatelným obvodům ASIC. Řada ProAsic Plus Vychází z předchozí řady ProAsic a je její vylepšenou verzí. Vyrábí se se standardní čtyřvrstvou Flash/CMOS technologií, která zvyšuje maximální počet ekvivalentních hradel na 1M. 3.4 Výrobce Quicklogic ArcticLink Programovatelná platforma navržená k tomu, aby se setkávala s jedinečnými požadavky zařízení počítače do ruky, např. mobilní telefony, PDA, osobní multimediální přehrávače, GPRS a ExpressCard. Jedná se o platformu, která dává vývojářům schopnost rychle spojit širokou škálu technologií k jejich aplikačním procesům, aniž by se snižoval výkon a použití CPU. Inovační vnitřní struktura dovoluje hlavnímu procesoru, aby ustanovil trvalé a souběžné přesuny dat mezi různými hostitelskými kontrolery bez dalšího zakročení. PolarPro PolarPro technologie byla navržena k tomu, aby se daly vzájemně spojit systémové požadavky a přenosné aplikace. Tato technologie má v sobě zabudovanou FIFO řídící logiku. Eclipse II Jedná se o architekturu, která uvádí výkonnou logiku, SRAM bloky a flexibilní hodinovou architekturu. Nabízí vícenásobná řešení pro aplikace, které požadují ultra-nízký příkon a vysokou bezpečnost designu. Eclipse Plus Kombinuje výkonné začleněné DSP funkce s vysokorychlostní programovatelnou logikou, aby vytvořila jedinečnou systémovou úroveň řešení. Eclipse Plus zařízení se svou integrací rovnají, výkonovým úrovním standardních produktů s flexibilitou programovatelné logiky. 10

17 Vnitřní struktura FPGA Eclipse Nabízí systémové rysy ideální pro telekomunikaci, připojení do sítě, počítání a testuje aplikace, které požadují kombinaci vysokého výkonu, vysoké hustoty. QuickRAM Nabízí jedinečnou kombinaci RAM, které jsou ideální pro návrhy s extrémními výkonnými RAM, ROM a FIFO požadavky. Pasic 3 Je dostupný v komerčních, průmyslových a armádních teplotních stupních. 11

18 Vývojové prostředí 4. Vývojové prostředí 4.1 Vývojové prostředí Libero od Actel Předtím než si začneme popisovat vývojové prostředí, je třeba si jej nainstalovat. Instalační soubor lze stáhnout z internetových stránek výrobce kde je třeba se zaregistrovat, aby bylo dovoleno si instalační soubor stáhnout. Pro spuštění prostředí, je ještě potřeba licence. O tuto licenci lze žádat jen pomocí internetu, kde se musí vyplnit malý dotazník a zadat sériové číslo disku, jelikož se tato licence generuje pro každý disk zvlášť, aby se předešlo kopírování jedné licence do více vývojových prostředí. Tato licence je spolu s návodem jak ji nastavit v path, zaslána na , který zadáte při registraci. Na obrázku je vidět, jak vypadá úvodní stránka vývojového prostředí LIBERO IDE. Obr. 3 - Úvodní stránka Libera Jelikož, kdybych zde popisoval jak se s prostředím pracuje, zabralo by to hodně místa, proto podrobnější nápovědu, jak se s vývojovým prostředím Libero pracuje naleznete na mých www stránkách, které zní v sekci vývoj. prostředí. A určitě taky na přiloženém DVD 12

19 Vývojové prostředí 4.2 Vývojové prostředí ISE WebPack 9.2i od Xilinxu Dříve než, se začnu vývojové prostředí ISE WebPACK 9.2i od firmy Xilinx, testovat a popisovat jak se s ním pracuje, je zapotřebí vývojové prostředí stáhnout a nainstalovat. Instalační soubor lze stáhnout z internetových stránek výrobce kde výrobce dovoluje volně stáhnout instalační soubor, jen se musí provést registrace. U tohoto vývojového prostředí se už nemusí žádat o žádný licenční soubor. Vše se děje v průběhu instalace, kde se vše nastaví, pro správný běh vývojového prostředí. Na následujícím obrázku je vidět, jak vypadá spuštěné vývojové prostředí ISE WebPack 9.2i s naprogramovaným programem. Obr. 4 - Vývojové prostředí ISE WebPACK 9.2i Vzhledem k rozsáhlému popisu práce s uvedeným vývojovým prostředím, který by zde byl velmi obsáhlý, rozhodl jsem se, že podrobnější nápovědu jak se s vývojovým prostředím ISE WebPACK 9.2i pracuje, uložím na mých internetových stránkách v sekci vývojové prostředí a také na DVD, které je přílohou mé bakalářské práce. 13

20 Vývojové prostředí 4.3. Quartus II Web Edition Software Version 7.2 Další vývojové prostředí, které jsem testoval a popisoval je Quartus II Web Edition Software. Toto vývojové prostředí je od Altery, která se taky zabývá programovatelnými logickými poly. Vývojové prostředí, je možno stáhnout jako free verzi a nainstalovat, ale jen na dobu určitou. To znamená, že po dobu 30 dní je možno vývojové prostředí používat bez licence. Jestliže chceme používat vývojové prostředí déle po dobu 150 dní je už potřeba požádat o licenční soubor. Abychom mohli vývojové prostředí nainstalovat, otestovat a popsat je potřeba se zaregistrovat na stránkách firmy, které zní Na následujícím obrázku je vidět jak vypadá spuštěné vývojové prostředí Quartus II 7.2sp2 Web Edition. Vzhledem k rozsáhlému popisu práce s uvedeným vývojovým prostředím, který by zde byl velmi obsáhlý, rozhodl jsem se, že podrobnější nápovědu, jak se s vývojovým prostředím Quartus II 7.2sp2 Web Edition pracuje, uložím na mých internetových stránkách v sekci vývojové prostředí a také na DVD, které je přílohou mé bakalářské práce. 14

21 Vývojové prostředí 4.4. Další vývojové protředí Existují samozřejmě i další vývojové prostředí od dalších výrobců, kteří se zabývají programovatelnými logickými poli. Jedná se o vývojové prostředí JTAG Configurator od ATMEL, CyberClocks od CYPRESS, QuickWorks VHDL od QUICKLOGIC. Tyto vývojové prostředí lze stáhnout a vyzkoušet, pouze pokud za ně zaplatíte určitou částku, která je po Vás žádaná při registraci na jejich firemních stránkach. 15

22 Výhody a nevýhody 5. Výhody a nevýhody vývojových prostředí Popsané výhody a nevýhody, jsou popsány z hlediska práce návrháře se softwarem. Pro praxi se vybírají prostředí, které nezávisí od návrhářova pohodlí, ale od toho do jaké FPGA se bude syntetizovat. Toto vše ovlivňuje cena programovatelného pole a také zaběhlé zvyklosti v dané firmě tzn., že jestliže má firma programátory pro Actel, nebude provádět implementaci pro Xilinx apod. 1. První nevýhoda je, že obě vývojová prostředí Libero, ISE WebPACK 9.2i a Quartus zabírají po nainstalování na disku hodně místa. 2. Další nevýhoda je, aby bylo možno Libero používat je třeba, žádat o licenční soubor přes Internet na jejich internetových stránkách. Kdo Internet nemá, vývojové prostředí Libero nerozjede. Licenční soubor dojde na váš spolu s návodem jak jej nastavit v path. 3. Výhodou u vývojového prostředí ISE WebPACK 9.2i je, že se nemusí žádat o žádný licenční soubor, vše potřebné se nastaví při instalaci. Jestliže, ale se bude při instalovávat ModelSim XE III je potřeba o licenční soubor zažádat u výrobce Xilinx na jeho internetových stránkách. 4. U vývojového prostředí Quartus, je rozdíl jakou dobu jej budeme používat. Jestliže, jen měsíc není potřeba žádat o licenční soubor, pokud však jej budeme používat déle je potřeba požádat o licenční soubor. 5. Po spuštění Libera vyskočí okna s kroky, které se mají provádět. U ISE WebPACK 9.2i tomu tak není a pro začátečníka uživatele to může být pěkný zmatek a musí vyhledat nějaký manuál a ovládaní. U Quartusu je to obdobné jak u vývojového prostředí ISE WebPACK 9.2i, je potřeba prostudování manuálu. 6. Výhodou je, že ISE WebPACK 9.2i sobě obsahuje základní manuál ovládání. Quartus obsahuje též základní manuál, ale je udělán ve flashové podobě. 7. Vývojové prostředí Libero je přehlednější oproti ISE WebPACK 9.2i i Quartusu, které jsou nepřehledné, a v prvotních krocích může uživatele odradit od používání. Ale jakmile si na ně alespoň trochu zvykne, je možno v něm efektivně a rychle pracovat. 8. Výhoda ISE WebPACK 9.2i i Quartusu je, že po vyplnění vstupních hodnot a kontrole nadefinovaných údajů se automaticky vygeneruje název entity a architektury. V Liberu taková možnost není, tam se musí vše vyplnit ručně, kdo neví, jak má daná struktura vypadat, může lehce udělat chybu. 9. Výhodou u ISE WebPACK 9.2i i Quartusu je, že než se něco vytvoří, vždy si na konci dané akce, lze zkontrolovat zadané data, které se mají v projektu vytvořit. 10. Další rozdílností vývojových prostředí je to, že pro Simulaci (Spuštění ModelSim) v Liberu stačí stisknout dané tlačítko (Modul) a při varovaní zatrhnou volbu Start ModelSim, ale u ISE WebPACK 9.2i je potřeba vytvoření nového kódu, který má koncovku *tbw(test Bench WaveForm). To samé je i u Quartusu, kde je potřeba založení nového kódu, který má koncovku *.vwf. 11. Další rozdíl u vývojových prostředí je při kompilaci projektu. Když se má zkompilovat kód v Liberu je zapotřebí nejdříve kód naimportovat do stimulusu a potom spustit modelsim a tam až provést kompilaci, přičemž u ISE Webpack 9.2i lze kompilaci provádět po každém uložení a úpravě kódu a není potřeba, žádné importace. V quartusu se kompilace provádí, tak že se vytvářejí sumární hodnoty jak pro kompilaci, tak pro syntézu. 12. Při simulaci kódu ve vývojových prostředích, dochází k tomu, že u LIBERA vyskočí další okno, kde je vidět průběh signálů, takže je třeba se přepínat mezi okny. U ISE WebPACK 9.2i 16

23 Výhody a nevýhody se v prostředí vytvoří další záložka, takže se lze přepínat mezi záložkami, je to pohodlnější a pohotovější. 13. Dle mého uvážení je vytváření nového projektu ve vývojovém prostředí ISE WebPACK 9.2i zdlouhavé jelikož se musí projít mnoha okny, než se nový projekt vytvoří, u vývojového prostředí LIBERO tomu tak není. 14. Obě vývojové prostředí nabízejí možnost, podívat se do jazykové šablony, tato šablona ukazuje, jak má správně vypadat syntaxe (konstrukce) jazyka VHDL. 15. Při simulaci v ISE WebPACK 9.2i se vytvoří simulační kód, který je možno potom sledovat jakmile si spustíme krokování. 16. Po simulaci jsem v ISE WebPACK 9.2i nezjistil, jak se dají měnit hodnoty vstupních hodnot, jsou zadány natvrdo. V Liberu se vstupní hodnoty dají měnit libovolně, takže je potom možnost vidět v ModelSlim průběh. 17. Jak v Liberu tak i ISE WebPACK 9.2i se zkompilovaný kód ukládá do adresáře work odkud je potom spouštěn. 18. Všechny vývojové prostředí, aby v nich mohlo bez problému fungovat naprogramované obvody, musí obsahovat nadefinované knihovny, jinak při kompilaci hlásí chyby. 17

24 Výhody a nevýhody Obecné shrnutí výhod a nevýhod : Vývojové prostředí LIBERO Klady + Lépe graficky zpracované a přehlednější, usnadňuje ovládání. + Součástí prostředí je ModelSim. + Možnost měnit vstupní hodnoty v ModelSim. + Nabízí šablonu, jak má vypadat základní syntaxe jazyka. Zápory - Po nainstalování na disku zabírá 1.5 GB místa na disku. - Pro spuštění prostředí potřeba mít licenční soubor. - Pro tvorbu syntézy zdlouhavý způsob => proklikání přes mnoho oken - Zdlouhavá kompilace vytvořeného kódu. - Neexistuje automatické vygenerování základní struktury. - Nelze zkontrolovat vytvořené kroky, které se stanou součástí projektu. Vývojové prostředí ISE WebPACK 9.2i Klady + Pro tvorbu syntézy stačí dvojklik na daný odkaz a vyskočí výsledek + Nabízí šablonu, jak má vypadat základní syntaxe jazyka + Pro spuštění prostředí není potřeba, žádný licenční soubor. + Při zakládání nového kódu automatická generace základní struktury. + Při zakládání nějakého kódu možnost kontroly. Zápory - Graficky nepřehledné. - Součástí prostředí není ModelSim, potřeba doinstalovat. - Prostředí, ale obsahuje něco jako ModelSim, ale nelze v něm měnit vstupní hodnoty. - Po nainstalování na disku zabírá 3.2 GB místa na disku - Nemá přehledné kroky postupu, potřeba nastudovat manuál. Vývojové prostředí Quartus II 7.2sp2 Web Edition Klady + Vývojové prostředí graficky na první pohled přehledné. + Jednoduché ovládání prostředí, přes ikony ve vývojovém prostředí. + Tutoriál v mluvené formě doplněn ukázkami co jak se zakládá. + Vytváření sumárních tabulek, jak pro kompilaci tak pro synthézu Zápory - Vývojové prostředí jen na dobu určitou k používání. - Zdlouhavé zakládání nového projektu. - Při prvním uložení kódu, dotaz kam se má vytvořený kód uložit. - Po nainstalování na disku zabírá 1.6 GB místa na disku - Po každé nové kompilaci se tvoří nová struktura Compilacion Report. 18

25 Implementace a testování 6. Implementace a testování čtyřbitového jednosměrného čítače 6.1. Rozbor úlohy Jedním z dalších úkolu bakalářské práce je implementace a testování obvodu ve vývojových prostředích. Daný obvod se jmenuje čtyřbitový jednosměrný čítač, který je doplněn dekodérem stavů na 7 - segmentový displej. Výstup na displeji se zobrazuje hexadecimálně, tzn. 0 9, A, b, c, d, E, F. Na uvedeném obrázku, je vidět jak vypadá daný displej a jak se zobrazují hexadecimální čísla na 7 segmentovém displeji. 7 - segmentový displej Čítač slouží k počítání impulzů. Stavem čítače je soubor hodnot n výstupních signálů. Čítač při každém vstupním impulzu změní stav a postupně tak prochází cyklem M stavů. Po M impulzech se cyklus opakuje, ale počet opakování již nelze samotným čítačem zjistit. Během cyklu se žádné dva stavy neopakují. [1] Dekodér jeho úkolem je dekódovat vstupní vektor tvořený několika málo signály na výstup, který zpravidla tvoří mnohem více signálů. Adresový dekodér Nejčastěji používaným dekodérem je adresový dekodér, který na základě vstupní adresy generuje povolávací signály např. pro jednotlivé segmenty, paměťové banky, periférie apod. 19

26 Implementace a testování 6.2. Realizace úlohy Ještě předtím než začneme daný obvod programovat v jazyce VHDL, je zapotřebí sestrojit pravdivostní tabulku, aby bylo vidět, jak se budou postupně zobrazovat čísla v jednotlivých krocích. Vstupní hodnoty proměnných budou v binárním tvaru nabývat postupně taky těchto hodnot, tzn. vyjádříme-li číslo v šestnáctkové soustavě dostaneme postupně na displeji 0,1,2,3.. E, F. Př. Přijdeli na vstup signál 0100 což je (4) 16 musí se rozsvítit segmenty - X,T,Z,U. Pro tento postup se nastavilo na příslušných segmentech logická 1 a na ostatních logická 0. Pravdivostní tabulka: Programová část: Při programování jsem použil aritmetickou knihovnu use IEEE.STD_LOGIC_ARITH.ALL, která obsahuje základní operace nad bitovým vektorem. V prvotním, kroku bylo zapotřebí nadefinovat vstupní a výstupní hodnoty. V dalším kroku jsem vytvořil tělo programu, které ukazuje chování čtyřbitového čítače. Naprogramovaný kód, lze spatřit v příloze. 20

27 Implementace a testování Ukázka časového průběhu Obr. 6 - Ukázka časového průběhu Výsledky Syntézy ve vývojových prostředích Libero, ISE WebPACK a Quartus Co to vlastně je syntéza? Při tvorbě syntézy se mapují virtuální logické prvky, popsané jazykem pro hardwarový návrh na základní logické prvky, jenž obsahuje konkrétní programovatelné logické pole. Po úspěšném provedení syntézy se vytvoří textový soubor, který obsahuje všechny informace týkající se daného naimplementovaného kódu a na jeho konci se nachází tabulka, kde jsou tyto elementy vypsány. Na obrázcích v příloze jsou ukázány, jak taková tabulka vypadá ve vývojových prostředích různých výrobců FPGA. Xilinx ISE 9.2i Při syntéze ve vývojovém prostředí se vytvoří synthesis report (adresář), který popisuje obecné informace jako: pro jaký vstupní soubor, byla syntéza vykonána, jméno programovatelného log. pole atd. Pro syntézu bylo použito programovatelné logické pole v50bg256-6, které vývojové prostředí nabízí spolu s dalšími možnostmi volby. Na úplném konci synthesis report je tabulka, která ukazuje, kolik je potřeba elementu. Libero Vývojové prostředí Libero vytváří synthesis report ve speciálním okně Synplify, kam se načte jméno projektu a potom za pomoci tlačítka RUN, se vytvoří příslušný report k danému naprogramovanému programu. Po vytvoření reportu se je možno podívat pomocí tlačítka View Log, které nám ukazuje, kolik je potřeba elementu. Pro syntézu ve vývojovém prostředí Libero bylo použito programovatelné logické pole ProASIC3 A3PO30, které jsem si navolil při zakládání nového projektu. Předchozí výpis se oproti Liberu liší, jak ve výpisu elementů, tak v jeho délce. 21

28 Implementace a testování Quartus II 7.2sp2 Web Edition Poslední synthesis report byl vytvořen ve vývojovém prostředí Quartus II. Při jeho tvorbě se postupně vytvářejí sumarizační tabulky, které vývojové prostředí vytváří a informuje o celkových počtech. Pro syntézu ve vývojovém prostředí Quartus II jsem si navolil programovatelné logické pole Cyclone II EP2C5F256C6. Po srovnání reportů z jednotlivých vývojových prostředí, jsem došel k závěru, že virtuální prvky, které se namapovaly, se početně moc neliší. Z toho plyne, že při tvorbě jakéhokoliv programu a vytvoření synthesis reportu, dostaneme vždy výsledný počet, který se bude lišit, jen v některých namapovaných virtuálních logických prvcích. V příloze naleznete ukázku, jak vlastně takový synthesis report vypadá u jednotlivých vývojových prostředí. 22

29 Závěr 7. Závěr V této bakalářské práci jsem popisoval co je to jazyk VHDL, programovatelná logická pole FPGA různých výrobců, kteří se zabývají tímto jazykem a především implementací zadaného programu. Dále jsem srovnával a popisoval vývojová prostředí, určená pro jazyk VHDL. Některé tyto prostředí, lze stáhnout zdarma z internetových stránek výrobců stačí pouhá registrace (XILINX, ACTEL, ALTERA). K dispozici, jsem měl následující vývojová prostředí (ISE WebPACK, LIBERO, QUARTUS), které jsem nainstaloval. Ale existují i další výrobci, kteří mají své vývojové prostředí, ale chtějí za jeho použití zaplatit (ATMEL, CYPRESS, QUICKLOGIC, aj.). Při práci s vývojovými prostředími a jazykem VHDL, jsem narazil na pojem Behaviorální styl. Behaviorálním stylem se rozumí popis na vysoké úrovni abstrakce, kde nemusejí být uvažovány konkrétní hodnoty šířky datových a adresových sběrnic, kde nejsou specifikovány hodinové signály. V implementační části jsem se zaměřil, jak vlastně vypadá čtyřbitový čítač, který byl doplněn dekodérem stavů na 7 segmentový displej. Hlavním úkolem bylo daný program naprogramovat a vyzkoušet jeho chování ve vývojových prostředích. Při programování a studování jazyka VHDL, jsem zjistil, že daný jazyk je dosti upovídaný a jeho jazykové konstruktory, jsou navrženy tak, aby zdrojový text byl co nejkratší. Dalším zjištěním bylo, že jazyk VHDL obsahuje prostředky, díky nimž můžeme zapsat popis modelovaných subsystémů s určitou obecností a v některých konkrétnějších případech zpřesnit implementaci jednoduchým zadáním číselné hodnoty parametrů. Po úspěšném naprogramování a odladění chyb, přišlo na řadu zkoušení a testování daného programu ve vývojových prostředích, abych zjistil, jak se daný program bude jevit v těchto prostředích a především, abych mohl popsat jednotlivá prostředí z hlediska funkčnosti a práce s nimi. Postupem času jsem přicházel na to, že se jednotlivá prostředí od sebe liší různými výhodami a nevýhodami, které jsem popsal výše. Co se týče samotné instalace vývojových prostředí, tak výrobci FPGA udávají, že lze vývojová prostředí nainstalovat jen Windows Vista Business a Windows XP Profesional. Musím jen říct, že to není pravda, jelikož jsem je nainstaloval a testoval i na ostatní Operačních Systémech, kromě LINUXU tento operační systém jsem nezkoušel, jelikož jej nemám nainstalovaný. V následující tabulce uvádím na jakých PC a pod jakými operačními systémy, byly vývojové prostředí spouštěny, popisovány a testovaný. Notebook Toshiba Stolní počítač OS Windows Vista Home Premium Windows XP Home Edition verze 2002 Procsor Intel Core 2 Duo 1.66 Ghz AMD 1.3 Ghz Paměť 2 GB 512 GB Díky této bakalářské práci jsem mohl proniknout do tajů číslicových systémů, které se v dnešní době vyskytují v našem blízkém okolí a pomáhají nám při každodenní práci. Dále my pomohla rozšířit si nabyté zkušenosti, které jsem měl ze střední školy, co se týče číslicových systémů, které jsme probírali jen teoreticky, a nebyla nám předvedena žádná ukázka. Zde jsem si mohl danou problematiku vyzkoušet na konkrétní úloze (čtyřbitový jednosměrný čítač) a simulovat průběhy signálů v čítači na počítačích, ještě před jeho implementací do programovatelného hradlového pole (FPGA). 23

30 Použita literatura 8. Použitá literatura [ 1 ] Pinker, J.- Poupa, M. : Číslicové systémy a jazyk VHDL. BEN, Praha 2006 [ 2 ] Musil, V. : Návrh digitálních integrovaných obvodů a jazyk VHDL.[Skriptum Brno] Brno 2002 [ 3 ] Bartoň, Z., Drobek, J., Kolouch, J., Kovalský, J., Mitrych, J., Musil, V., Vlček, K.: Návrh digitálních integrovaných obvodů, Jazyk VHDL, Cvičení. VUT v Brně, (2000) [ 4 ] Firemní stránky [ 5 ] Firemní stránky [ 6 ] Firemní stránky [ 7 ] Firemní stránky [ 8 ] Firemní stránky [ 9 ] Firemní stránky distributora FPGA Actel pro ČR [ 10 ] 24

31 Příloha PŘÍLOHA DVD: Obsah: Adresář Kra409 obsahuje: I. Text textová část práce ve formátu PDF. II. Program Adresář s čítačem a dekodérem. III. Uživatelské příručky vývojových prostředí ve formátu HTML. IV. Instalační soubory vývojových prostředí

32 Na obrázku vidíme část syntezis report z vývojového prostředí LIBERO: Příloha

33 Příloha Na obrázku vidíme část syntezis report z vývojového prostředí ISE WebPACK: Na obrázku vidíme část syntezis report z vývojového prostředí Quartus: Ukázka naprogramovaného kódu

34 Příloha

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

Uživatelská příručka

Uživatelská příručka Uživatelská příručka PC výkaznictví JASU (program pro zpracování účetního výkaznictví) březen 2012 Dodavatel: MÚZO Praha s.r.o. Politických vězňů 15 P.O.Box 36 111 21 Praha 1 telefon: 224 091 619 fax:

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 24 Vnitřní paměti

Více

CHARAKTERISTIKY MODELŮ PC

CHARAKTERISTIKY MODELŮ PC CHARAKTERISTIKY MODELŮ PC Historie: červenec 1980 skupina 12 pracovníků firmy IBM byla pověřena vývojem osobního počítače 12. srpna 1981 byl počítač veřejně prezentován do konce r. 1983 400 000 prodaných

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

Hardware. Z čeho se skládá počítač

Hardware. Z čeho se skládá počítač Hardware Z čeho se skládá počítač Základní jednotka (někdy také stanice) obsahuje: výstupní zobrazovací zařízení CRT nebo LCD monitor počítačová myš vlastní počítač obsahující všechny základní i přídavné

Více

XD Routing a vstupní I/O systém. Digitální broadcast technologie

XD Routing a vstupní I/O systém. Digitální broadcast technologie Řada 52 XD Routing a vstupní I/O systém Digitální broadcast technologie Design Core XD a Core XC systému Core - Jádro systému 52/XC Core je DHD centrální procesor pro menší a střední mixážní pulty se zpracováním

Více

E.C.S. řada 900 - nová generace obrat o 360 ( Systém vyvinut ve Florencii v r.2009 )

E.C.S. řada 900 - nová generace obrat o 360 ( Systém vyvinut ve Florencii v r.2009 ) E.C.S. řada 900 - nová generace obrat o 360 ( Systém vyvinut ve Florencii v r.2009 ) Filozofie vývoje nové řady E.C.S. CNC klade důraz především na vyspělou technologii a nadčasový vzhled. Vývoji nového

Více

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard

Základní deska (1) Parametry procesoru (2) Parametry procesoru (1) Označována také jako mainboard, motherboard Základní deska (1) Označována také jako mainboard, motherboard Deska plošného spoje tvořící základ celého počítače Zpravidla obsahuje: procesor (mikroprocesor) patici pro numerický koprocesor (resp. osazený

Více

software Ruční měřicí přístroje Zobrazovače / Regulátory Loggery / EASYBus GDUSB FastView EASYControl net EASYBus Configurator GSOFT 3050 GSOFT 40k

software Ruční měřicí přístroje Zobrazovače / Regulátory Loggery / EASYBus GDUSB FastView EASYControl net EASYBus Configurator GSOFT 3050 GSOFT 40k EBS 20M EBS 60M GMH 3xxx a GMH 5xxx EASYBus a EASYLog TLogg GDUSB 1000 GSOFT 3050 operační systémy Windows XP / 7 98 SE / 7 98 SE / 7 98 SE / 7 XP / 7 XP / 7 XP / 7 možnost použití více rozhraní současně

Více

ADMINISTRAČNÍ PŘIRUČKA verze 1.1.19. Strana 2 (celkem 20) Strana 3 (celkem 20) 1. Obsah 1. Obsah...3 2. Úvod...5 2.1. Požadavky na hardware...5 2.2. Požadavky na software...5 2.3. Instalace...5 2.4. Výchozí

Více

Uživatelská příručka pro program

Uživatelská příručka pro program NEWARE Uživatelský manuál Uživatelská příručka pro program ve spojení se zabezpečovacím systémem strana 1 Uživatelský manuál NEWARE strana 2 NEWARE Uživatelský manuál Vaše zabezpečovací ústředna DIGIPLEX

Více

BankKlient. FAQs. verze 9.50

BankKlient. FAQs. verze 9.50 BankKlient FAQs verze 9.50 2 BankKlient Obsah: Úvod... 3 Instalace BankKlient možné problémy... 3 1. Nejsou instalovány požadované aktualizace systému Windows... 3 2. Instalační program hlásí, že nemáte

Více

2N Helios IP Manager Software pro konfiguraci a správu dveřních komunikátorů 2N Helios IP.

2N Helios IP Manager Software pro konfiguraci a správu dveřních komunikátorů 2N Helios IP. 2N Helios IP Manager Software pro konfiguraci a správu dveřních komunikátorů 2N Helios IP. Uživatelský manuál Verze 1.0.0 Software 1.0.x www.2n.cz Společnost 2N TELEKOMUNIKACE a.s. je českým výrobcem a

Více

Řešení problému batohu dynamickým programováním, metodou větví a hranic a aproximativním algoritmem

Řešení problému batohu dynamickým programováním, metodou větví a hranic a aproximativním algoritmem 2. 1. 213 MI-PAA úkol č. 2 Antonín Daněk Řešení problému batohu dynamickým programováním, metodou větví a hranic a aproximativním algoritmem 1 SPECIFIKACE ÚLOHY Cílem tohoto úkolu bylo naprogramovat řešení

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

Principy činnosti sběrnic

Principy činnosti sběrnic Cíl přednášky: Ukázat, jak se vyvíjely architektury počítačů v souvislosti s architekturami sběrnic. Zařadit konkrétní typy sběrnic do vývojových etap výpočetních systémů. Ukázat, jak jsou tyto principy

Více

Paměti a jejich organizace

Paměti a jejich organizace Kapitola 5 Paměti a jejich organizace 5.1 Vnitřní a vnější paměti, vlastnosti jednotlivých typů Vnější paměti Jsou umístěny mimo základní jednotku. Lze je zařadit mezi periferní zařízení. Zápis a čtení

Více

OPERAČNÍ PROGRAM PRAHA ADAPTABILITA & EU:

OPERAČNÍ PROGRAM PRAHA ADAPTABILITA & EU: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Nástroje a metody pro simulaci, tvorba TestBench souborů Speciální interní struktury FPGA

Více

Konfigurace pracovní stanice pro ISOP-Centrum verze 1.08.20

Konfigurace pracovní stanice pro ISOP-Centrum verze 1.08.20 Informační systém ISOP 7-13 Vypracováno pro CzechInvest Konfigurace pracovní stanice pro ISOP-Centrum verze 1.08.20 vypracovala společnost ASD Software, s.r.o. Dokument ze dne 24.3.2009, verze 1.00 Konfigurace

Více

Počítačové experimenty s podporou SPICE

Počítačové experimenty s podporou SPICE Abstrakt Počítačové experimenty s podporou SPICE ing. Zdeněk Biolek, Ph.D. SPŠE Rožnov p.r., Školní 1610, 756 61 Rožnov p.r. biolek@spseroznov.cz Příspěvek popisuje některé zkušenosti s výukou elektrotechnických

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

nutné smazat zároveň i všechna ostatní zainteresovaná paměťová místa přepisovaném

nutné smazat zároveň i všechna ostatní zainteresovaná paměťová místa přepisovaném - SSD - SSD - Princip fungování a základní vlastnosti SSD disky jsou zcela tiché, což je způsobeno jejich principem zápisu, který je stejný jako u USB flashdisků. SSD zařízení neobsahují žádné pohyblivé

Více

MONITORING A ANALÝZA KVALITY ELEKTŘINY

MONITORING A ANALÝZA KVALITY ELEKTŘINY MONITORING A ANALÝZA KVALITY ELEKTŘINY Doc. Ing. Jan Žídek, CSc. Kvalitativní stránka elektřiny dnes hraje čím dál významnější roli. Souvisí to jednak s liberalizací trhu s elektrickou energii a jednak

Více

České vysoké učení technické v Praze

České vysoké učení technické v Praze České vysoké učení technické v Praze Fakulta elektrotechnická Testování programu Free Easy CD/DVD Burner 5.1.0 Semestrální práce B2 z předmětu A7B39TUR Vypracovali: David Rozenberg Tomáš Pavlík Pavel Chráska

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

X-Sign Basic Uživatelská příručka

X-Sign Basic Uživatelská příručka X-Sign Basic Uživatelská příručka Copyright Copyright 2015, BenQ Corporation. Všechna práva vyhrazena. Žádná část této publikace nesmí být reprodukována, přenášena, přepisována, ukládána do systému pro

Více

Strana 27-6. Strana 27-7

Strana 27-6. Strana 27-7 Strana -6 SOFTWARE PRO DOHLED A SPRÁVU ENERGETICKÝCH SÍTÍ Struktura a aplikace založená na relačním databázovém systému MS SQL Prohlížení dat prostřednictvím běžných internetových prohlížečů Vysoce univerzální

Více

Způsoby realizace paměťových prvků

Způsoby realizace paměťových prvků Způsoby realizace paměťových prvků Interní paměti jsou zapojeny jako matice paměťových buněk. Každá buňka má kapacitu jeden bit. Takováto buňka tedy může uchovávat pouze hodnotu logická jedna nebo logická

Více

ROZVOJ ICT A PDA ZAŘÍZENÍ THE DEVELOPMENT OF ICT AND PDA DEVICES Jiří Vaněk

ROZVOJ ICT A PDA ZAŘÍZENÍ THE DEVELOPMENT OF ICT AND PDA DEVICES Jiří Vaněk ROZVOJ ICT A PDA ZAŘÍZENÍ THE DEVELOPMENT OF ICT AND PDA DEVICES Jiří Vaněk Anotace: Příspěvek se zabývá rozvojem informačních a komunikačních technologií se zaměřením na trendy technického a programového

Více

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Organizace předmětu, podmínky pro získání klasifikovaného zápočtu Kurz A0B38FPGA Aplikace

Více

Data Sheet Fujitsu LIFEBOOK AH552/SL Notebook

Data Sheet Fujitsu LIFEBOOK AH552/SL Notebook Data Sheet Fujitsu LIFEBOOK AH552/SL Notebook Váš nepostradatelný elegantní společník Hledáte velmi tenký notebook vhodný pro každodenní použití? Fujitsu LIFEBOOK AH552/ SL s úhlopříčkou 39,6 cm (15,6

Více

VZDĚLÁVACÍ MATERIÁL III/2

VZDĚLÁVACÍ MATERIÁL III/2 VZDĚLÁVACÍ MATERIÁL III/2 Vy_32_inovace_005_Pl7 Vzdělávací oblast: Vzdělávací obor (okruh): Vyučovací předmět: Téma: Očekávaný výstup: Klíčová slova: Informační a komunikační technologie Informatika Informatika

Více

InTouch 8.0 Subsystém distribuovaných alarmů

InTouch 8.0 Subsystém distribuovaných alarmů InTouch 8.0 Subsystém distribuovaných alarmů Pavel Průša Pantek (CS) s.r.o. Strana 2 Obsah Úvod Úvod Subsystém distribuovaných alarmů Ukládání alarmů do relační databáze Zobrazování, potvrzování a potlačování

Více

Datasheet Fujitsu LIFEBOOK A512 Notebook

Datasheet Fujitsu LIFEBOOK A512 Notebook Datasheet Fujitsu LIFEBOOK A512 Notebook Váš společník na každý den Fujitsu LIFEBOOK A512 je solidní notebook pro každodenní použití s antireflexním 15,6 (39,6cm) displejem LCD s rozlišením HD a širokoúhlým

Více

QuarkXPress 9.5 - soubor ReadMe

QuarkXPress 9.5 - soubor ReadMe QuarkXPress 9.5 - soubor ReadMe OBSAH Obsah QuarkXPress 9.5 - soubor ReadMe...4 Požadavky na systém...5 Požadavky na systém: Mac OS...5 Požadavky na systém: Windows...5 Instalování: Mac OS...7 Provedení

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

Technologie počítačových sítí 1. cvičení

Technologie počítačových sítí 1. cvičení Technologie počítačových sítí 1. cvičení Obsah prvního cvičení Microsoft Windows 2003 server Operační systém Windows 2003 server - Vytvoření nového virtuálního stroje pro instalaci Windows 98 - Příprava

Více

ARCHITEKTURA AMD PUMA

ARCHITEKTURA AMD PUMA VŠB-TU Ostrava Fakulta elektrotechniky a informatiky Katedra informačných technológií ARCHITEKTURA AMD PUMA Martin Raichl, RAI033 21. listopadu 2009 Ján Podracký, POD123 Obsah Architektura AMD PUMA nová

Více

ZPRACOVÁNÍ NEURČITÝCH ÚDAJŮ V DATABÁZÍCH

ZPRACOVÁNÍ NEURČITÝCH ÚDAJŮ V DATABÁZÍCH 0. Obsah Strana 1 z 12 VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ BRNO UNIVERSITY OF TECHNOLOGY FAKULTA STROJNÍHO INŽENÝRSTVÍ ÚSTAV AUTOMATIZACE A INFORMATIKY FACULTY OF MECHANICAL ENGINEERING INSTITUTE OF AUTOMATION

Více

WinTV-HVR-930C-HD WinTV-HVR-930C WinTV-HVR-900-HD WinTV-HVR-900

WinTV-HVR-930C-HD WinTV-HVR-930C WinTV-HVR-900-HD WinTV-HVR-900 WinTV-HVR-930C-HD WinTV-HVR-930C WinTV-HVR-900-HD WinTV-HVR-900 Stručný průvodce instalací Hauppauge Computer Works, Ltd Bank Chambers 6-10 Borough High Street London SE1 9QQ tel: (+44) 0207 378 1997 fax:

Více

QuarkXPress 9.2 - soubor ReadMe

QuarkXPress 9.2 - soubor ReadMe QuarkXPress 9.2 - soubor ReadMe OBSAH Obsah QuarkXPress 9.2 - soubor ReadMe...4 Požadavky na systém...5 Požadavky na systém: Mac OS...5 Požadavky na systém: Windows...5 Instalování: Mac OS...6 Provedení

Více

Jakub Š astný FPGA prakticky Realizace èíslicových systémù pro programovatelná hradlová pole Praha 2010 Tato publikace je vìnována praktickým aspektùm návrhu èíslicových systémù. Spíše, než popisu jazyka

Více

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY

GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY GRAFICKÉ ROZHRANÍ V MATLABU PRO ŘÍZENÍ DIGITÁLNÍHO DETEKTORU PROSTŘEDNICTVÍM RS232 LINKY Jiří Šebesta Ústav radioelektroniky, Fakulta elektroniky a komunikačních technologií Vysoké učení technické v Brně

Více

Představení: Luxusní notebook - tablet Toshiba v akci

Představení: Luxusní notebook - tablet Toshiba v akci Stránka č. 1 z 8 < Zpět na článek... Toshiba Portégé R400 foto: Jiří Zahrádka Představení: Luxusní notebook - tablet Toshiba v akci 15.6.2007 - Tablety Toshiba se na trhu řadí k uznávanému zboží vybavenému

Více

Data Sheet Fujitsu LIFEBOOK AH531 Notebook

Data Sheet Fujitsu LIFEBOOK AH531 Notebook Data Sheet Fujitsu LIFEBOOK AH531 Notebook Váš nepostradatelný společník LIFEBOOK AH531 Notebook LIFEBOOK AH531 je stylový tenký produkt s lesklým nebo antireflexním 15,6 (39,6cm) displejem LCD s rozlišením

Více

PAMĚTI ROM, RAM, EPROM, EEPROM

PAMĚTI ROM, RAM, EPROM, EEPROM Elektronická paměť je součástka, zařízení nebo materiál, který umožní uložit obsah informace (zápis do paměti), uchovat ji po požadovanou dobu a znovu ji získat pro další použití (čtení paměti). Informace

Více

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247

Inovace bakalářského studijního oboru Aplikovaná chemie. Reg. č.: CZ.1.07/2.2.00/15.0247 Inovace bakalářského studijního oboru Aplikovaná chemie Reg. č.: CZ.1.07/2.2.00/15.0247 APLIKACE POČÍTAČŮ V MĚŘÍCÍCH SYSTÉMECH PRO CHEMIKY s využitím LabView 2. Číslicové počítače a jejich využití pro

Více

M I S Y S - W E B. Intranet řešení systému MISYS. Verze 9.00. Příručka uživatele

M I S Y S - W E B. Intranet řešení systému MISYS. Verze 9.00. Příručka uživatele M I S Y S - W E B Intranet řešení systému MISYS Verze 9.00 Příručka uživatele GEPRO s.r.o. Září 2008 Copyright GEPRO s.r.o. 2008 Ochranné známky GEPRO spol. s r.o. KOKEŠ, MISYS Ochranné známky Microsoft

Více

Základní deska (mainboard, motherboard)

Základní deska (mainboard, motherboard) Základní deska (mainboard, motherboard) Hlavním účelem základní desky je propojit jednotlivé součástky počítače do fungujícího celku a integrovaným součástem na základní desce poskytnout elektrické napájení.

Více

Varování: před aktualizací odpojte ipod, během aktualizace nevypínejte přístroj a neodpojujte flash disk s aktualizačními soubory!

Varování: před aktualizací odpojte ipod, během aktualizace nevypínejte přístroj a neodpojujte flash disk s aktualizačními soubory! Aktualizace map přístrojů ZENEC Pro aktualizaci map na přístroje ZENEC budeme potřebovat na PC s přístupem k rychlému internetu, nainstalovaný naviextras Toolbox, který je ke stažení na adrese: https://www.naviextras.com/shop/portal/downloads

Více

Česky. Pen Tablet. Uživatelská příručka. Windows 2000 / XP / Vista

Česky. Pen Tablet. Uživatelská příručka. Windows 2000 / XP / Vista Pen Tablet Uživatelská příručka Windows 2000 / XP / Vista 1 I. Obecné informace 1. Přehled Vítejte ve světě počítačových per! Zjistíte, jak snadné je ovládat váš počítač nikoli myší, ale bezdrátovým perem.

Více

Registrační číslo projektu: CZ.1.07/1.5.00/34.0185. Název projektu: Moderní škola 21. století. Zařazení materiálu: Ověření materiálu ve výuce:

Registrační číslo projektu: CZ.1.07/1.5.00/34.0185. Název projektu: Moderní škola 21. století. Zařazení materiálu: Ověření materiálu ve výuce: STŘEDNÍ ODBORNÁ ŠKOLA A STŘEDNÍ ODBORNÉ UČILIŠTĚ NERATOVICE Školní 664, 277 11 Neratovice, tel.: 315 682 314, IČO: 683 834 95, IZO: 110 450 639 Ředitelství školy: Spojovací 632, 277 11 Neratovice tel.:

Více

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas.

Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec. leos.kafka@utia.cas. Technická zpráva Řadiče periferií pro vývojovou desku Spartan3E Starter Kit Jaroslav Stejskal, Jiří Svozil, Leoš Kafka, Jiří Kadlec leos.kafka@utia.cas.cz Obsah 1. Úvod... 2 2. Popis modulů... 2 2.1 LCD...

Více

PŘEVODNÍK SNÍMAČE SIL NA USB PRO ZOBRAZENÍ V PC DSCUSB. KRÁTKÁ PŘÍRUČKA PRO OBSLUHU A KONFIGURACI Revize červenec 2014

PŘEVODNÍK SNÍMAČE SIL NA USB PRO ZOBRAZENÍ V PC DSCUSB. KRÁTKÁ PŘÍRUČKA PRO OBSLUHU A KONFIGURACI Revize červenec 2014 PŘEVODNÍK SNÍMAČE SIL NA USB PRO ZOBRAZENÍ V PC DSCUSB KRÁTKÁ PŘÍRUČKA PRO OBSLUHU A KONFIGURACI Revize červenec spol. s.r.o. Ostrovačice OBSAH 1 ZÁKLADNÍ INFORMACE... 2 1.1 Parametry převodníku DSCUSB...

Více

Nasazení EIS JASU CS v rezortu Ministerstva zdravotnictví ČR vč. všech podřízených OSS

Nasazení EIS JASU CS v rezortu Ministerstva zdravotnictví ČR vč. všech podřízených OSS P Ř Í P A D O V Á S T U D I E Nasazení EIS JASU CS v rezortu Ministerstva zdravotnictví ČR vč. všech podřízených OSS MÚZO Praha s. r. o. Politických vězňů 15 110 00 Praha 1 www.muzo.cz obchod@muzo.cz JASU

Více

Neuropočítače. podnět. vnímání (senzory)

Neuropočítače. podnět. vnímání (senzory) Neuropočítače Princip inteligentního systému vnímání (senzory) podnět akce (efektory) poznání plánování usuzování komunikace Typické vlastnosti inteligentního systému: schopnost vnímat podněty z okolního

Více

emachines D620 řady Stručné pokyny

emachines D620 řady Stručné pokyny emachines D620 řady Stručné pokyny Copyright 2008 Acer Incorporated. Všechna práva vyhrazena. emachines D620 řady Stručné pokyny Původní vydání: 09/2008 Společnost neposkytuje žádná ujištění nebo záruky

Více

FPGA intimně. Marek Vašut March 6, 2016

FPGA intimně. Marek Vašut March 6, 2016 March 6, 2016 Marek Vašut Custodian at U-Boot bootloader Linux kernel hacker oe-core contributor (Yocto/OE/Poky) FPGA enthusiast Obsah Úvod do FPGA Open-Source nastroje pro praci s FPGA Podrobnosti technologie

Více

Office 2013. podrobný průvodce. Tomáš Šimek

Office 2013. podrobný průvodce. Tomáš Šimek Office 2013 podrobný průvodce Tomáš Šimek Seznámení se společnými postupy při práci s dokumenty Office Popis základních a pokročilejších postupů při práci s Wordem, Excelem, PowerPointem a OneNote Možnosti

Více

Pojem architektura je převzat z jiného oboru lidské činnosti, než počítače.

Pojem architektura je převzat z jiného oboru lidské činnosti, než počítače. 1 Architektura počítačů Pojem architektura je převzat z jiného oboru lidské činnosti, než počítače. Neurčuje jednoznačné definice, schémata či principy. Hovoří o tom, že počítač se skládá z měnších částí

Více

Práce s velkými sestavami

Práce s velkými sestavami Práce s velkými sestavami Číslo publikace spse01650 Práce s velkými sestavami Číslo publikace spse01650 Poznámky a omezení vlastnických práv Tento software a související dokumentace je majetkem společnosti

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

O aplikaci Parallels Desktop 7 for Mac

O aplikaci Parallels Desktop 7 for Mac O aplikaci Parallels Desktop 7 for Mac Parallels Desktop 7 for Mac představuje zásadní upgrade softwaru Parallels pro používání Windows na Macu. O této aktualizaci Parallels Desktop 7 for Mac (sestavení

Více

MLE2 a MLE8. Datalogery událostí

MLE2 a MLE8. Datalogery událostí MLE2 a MLE8 Datalogery událostí Zapisovač počtu pulsů a událostí Návod k obsluze modelů MLE2 MLE8 Doporučujeme vytisknout tento soubor, abyste jej mohli používat, když se budete učit zacházet se zapisovačem.

Více

Ovladač Fiery Driver pro systém Mac OS

Ovladač Fiery Driver pro systém Mac OS 2016 Electronics For Imaging, Inc. Informace obsažené v této publikaci jsou zahrnuty v Právní oznámení pro tento produkt. 30. května 2016 Obsah Ovladač Fiery Driver pro systém Mac OS Obsah 3...5 Fiery

Více

SCS Data Manager. Poznámky k verzi. Verze 3.00

SCS Data Manager. Poznámky k verzi. Verze 3.00 SCS Data Manager Poznámky k verzi Verze 3.00 Sídlo společnosti Trimble Navigation Limited Engineering and Construction Division 5475 Kellenburger Road Dayton, Ohio 45424-1099 USA Tel: +1-937-233-8921 Fax:

Více

Acronis Backup Advanced Version 11.7

Acronis Backup Advanced Version 11.7 Acronis Backup Advanced Version 11.7 VZTAHUJE SE NA NÁSLEDUJÍCÍ PRODUKTY: Advanced pro Windows Server Advanced pro PC Pro Windows Server Essentials ÚVODNÍ PŘÍRUČKA Prohlášení o autorských právech Copyright

Více

VYUŽITÍ REGIONÁLNÍCH FUNKCÍ A WWW ROZHRANÍ V INTEGROVANÉM KNIHOVNÍM SYSTÉMU KPWINSQL

VYUŽITÍ REGIONÁLNÍCH FUNKCÍ A WWW ROZHRANÍ V INTEGROVANÉM KNIHOVNÍM SYSTÉMU KPWINSQL VYUŽITÍ REGIONÁLNÍCH FUNKCÍ A WWW ROZHRANÍ V INTEGROVANÉM KNIHOVNÍM SYSTÉMU KPWINSQL Petr Štefan Václav Trunec, KP-sys, Čacké 155, Pardubice 1 Úvod Firma KP-SYS spol. s r. o. dodává na náš trh integrované

Více

Výklad učiva: Co je to počítač?

Výklad učiva: Co je to počítač? Výklad učiva: Co je to počítač? Počítač je v informatice elektronické zařízení a výpočetní technika, která zpracovává data pomocí předem vytvořeného programu. Současný počítač se skládá z hardware, které

Více

Instalujeme a zakládáme databázi Oracle Database 11g

Instalujeme a zakládáme databázi Oracle Database 11g KAPITOLA 2 Instalujeme a zakládáme databázi Oracle Database 11g Protože se instalace systému Oracle s každou novou verzí zjednodušuje, stojí uživatel před pokušením otevřít krabici s médii a ihned začít

Více

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ

VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU HOST PC - TARGET PC PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ VYUŽITÍ KNIHOVNY SWING PROGRAMOVACÍHO JAZYKU JAVA PŘI TVORBĚ UŽIVATELSKÉHO ROZHRANÍ SYSTÉMU "HOST PC - TARGET PC" PRO ŘÍZENÍ POLOVODIČOVÝCH MĚNIČŮ Stanislav Flígl Katedra elektrických pohonů a trakce (K13114),

Více

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit

Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Zprovoznění kitu Xilinx Spartan-6 FPGA Industrial Video Processing Kit Technická zpráva - FI - VG20102015006-2011 03 Ing. Filip Orság, Ph.D. Fakulta informačních technologií, Vysoké učení technické v Brně

Více

ORGANIZACE A REALIZACE OPERAČNÍ PAMĚTI

ORGANIZACE A REALIZACE OPERAČNÍ PAMĚTI ORGANIZACE A REALIZACE OPERAČNÍ PAMĚTI 1 Základní rozdělení paměti RAM (takto začalo v PC na bázi 286) 1. konvenční paměť 640 kb, 0h - 9FFFFh (segmenty 0 9) V této oblasti byly spouštěny aplikační programy

Více

MATURITNÍ PRÁCE dokumentace

MATURITNÍ PRÁCE dokumentace MATURITNÍ PRÁCE dokumentace Jídelníček SŠIEŘ pro Android Martin Bartoň školní rok: 2012/2013 obor: třída: Počítačové systémy PS4.A ABSTRAKT Práce je zaměřená na problematiku tvorby Android aplikací,

Více

Katalog produktů Lexmark

Katalog produktů Lexmark Katalog produktů Lexmark Léto 2016 O společnosti Lexmark International nabízí podnikům všech velikostí široké spektrum tiskových a zobrazovacích produktů, softwaru, řešení a služeb, které pomáhají zákazníkům

Více

Využití volně dostupných simulátorů pole v elektromagnetické kompatibilitě

Využití volně dostupných simulátorů pole v elektromagnetické kompatibilitě Využití volně dostupných simulátorů pole v elektromagnetické kompatibilitě UEM FAI Zlín STOČ 2009, Ostrava Bc. Jan Strnad Anotace Studentský projekt se zabývá numerickou simulaci vybraných problémů z elektromagnetické

Více

Datasheet Fujitsu LIFEBOOK AH502 Notebook

Datasheet Fujitsu LIFEBOOK AH502 Notebook Datasheet Fujitsu LIFEBOOK AH502 Notebook Notebook pro každý den Fujitsu LIFEBOOK AH502 je notebook pro každý den, který splní základní potřeby vašeho podnikání. Antireflexní 15,6 (39,6cm) displej LCD

Více

ADDAT HEAT Control - Návod k použití - verze 2.07 (firmware 1.44)

ADDAT HEAT Control - Návod k použití - verze 2.07 (firmware 1.44) - ADDAT HEAT Control - Návod k použití - verze 2.07 (firmware 1.44) ADDAT s.r.o. Májová 1126 463 11 Liberec 30 telefon: fax: http: e-mail: 485 102 271 485 114 761 www.addat.cz addat@addat.cz Obsah: 1.

Více

Úvod...15. Používané konvence... 16. 1. Seznámení s Outlookem...17

Úvod...15. Používané konvence... 16. 1. Seznámení s Outlookem...17 Obsah Úvod...15 Používané konvence... 16 1. Seznámení s Outlookem...17 1.1 Novinky verze 2003... 17 1.1.1 Navigační podokno...17 1.1.2 Nabídka Přejít...17 1.1.3 Podokno pro čtení...18 1.1.4 Rozložení seznamu

Více

Helios RED a Internetový obchod

Helios RED a Internetový obchod (pracovní verze!) Helios RED a Internetový obchod Obsah dokumetace: 1. Úvod 2. Evidované údaje na skladové kartě 3. Přenos skladových karet z Helios RED do e-shopu 4. Přenos objednávek z e-shopu do Helios

Více

HW počítače co se nalézá uvnitř počítačové skříně

HW počítače co se nalézá uvnitř počítačové skříně ZVT HW počítače co se nalézá uvnitř počítačové skříně HW vybavení PC Hardware Vnitřní (uvnitř počítačové skříně) Vnější ( ) Základní HW základní jednotka + zobrazovací zařízení + klávesnice + (myš) Vnější

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

Stručný obsah KAPITOLA 1 KAPITOLA 2 KAPITOLA 3 KAPITOLA 4 KAPITOLA 5 KAPITOLA 6 KAPITOLA 7 KAPITOLA 8 KAPITOLA 9 KAPITOLA 10 KAPITOLA 11 KAPITOLA 12

Stručný obsah KAPITOLA 1 KAPITOLA 2 KAPITOLA 3 KAPITOLA 4 KAPITOLA 5 KAPITOLA 6 KAPITOLA 7 KAPITOLA 8 KAPITOLA 9 KAPITOLA 10 KAPITOLA 11 KAPITOLA 12 Stručný obsah KAPITOLA 1 Prohlídka počítače 23 KAPITOLA 2 Mikroprocesory 49 KAPITOLA 3 RAM 103 KAPITOLA 4 BIOS a CMOS 133 KAPITOLA 5 Rozšiřující sběrnice 165 KAPITOLA 6 Základní desky 209 KAPITOLA 7 Zdroje

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod

Více

SYSTÉM PRO KONFIGURACI KOMUNIKAČNÍCH TERMINÁLŮ A VIZUALIZACI STAVOVÝCH DAT Z KOLEJOVÝCH VOZIDEL

SYSTÉM PRO KONFIGURACI KOMUNIKAČNÍCH TERMINÁLŮ A VIZUALIZACI STAVOVÝCH DAT Z KOLEJOVÝCH VOZIDEL SYSTÉM PRO KONFIGURACI KOMUNIKAČNÍCH TERMINÁLŮ A VIZUALIZACI STAVOVÝCH DAT Z KOLEJOVÝCH VOZIDEL SYSTEM FOR CONFIGURATION OF COMMUNICATION TERMINALS AND VISUALIZATION OF STATE INFORMATION FROM RAIL VEHICLES

Více

ZoomText 10.1 pro Windows. Dodatek k uživatelské příručce

ZoomText 10.1 pro Windows. Dodatek k uživatelské příručce ZoomText 10.1 pro Windows 8 Dodatek k uživatelské příručce Autorská práva ZoomText Magnifier Copyright 2013, Algorithmic Implementations, Inc. Všechna práva vyhrazena. ZoomText Magnifier/Reader Copyright

Více

Školní deska s FPGA XILINX Spartan 3AN. Milan Horkel

Školní deska s FPGA XILINX Spartan 3AN. Milan Horkel Školní deska s FPGA XILINX Spartan 3AN Milan Horkel Školní deska vznikla protože jsem se nechal přesvědčit kluky na radiotechnickém kroužku, že by je zajímalo jak fungují obvody FPGA a že by si rádi zkusili

Více

Odborný styl. Yvona Řepová. Integrace odborného jazyka do výuky odborných předmětů

Odborný styl. Yvona Řepová. Integrace odborného jazyka do výuky odborných předmětů Odborný styl Yvona Řepová Název školy Název a číslo projektu Název modulu Obchodní akademie a Střední odborné učiliště, Veselí nad Moravou Motivace žáků ke studiu technických předmětů OP Vzdělávání pro

Více

Chcete jednodušší a efektivnější automatizaci u-remote maximalizuje váš výkon Let s connect.

Chcete jednodušší a efektivnější automatizaci u-remote maximalizuje váš výkon Let s connect. Chcete jednodušší a efektivnější automatizaci u-remote maximalizuje váš výkon Let s connect. Technologie elektronického rozhraní - Remote I/O ve třídě IP 20 Snadná instalace Úsporná a flexibilní konfigurace

Více

Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky. referát do předmětu: Pokročilé architektury počítačů.

Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky. referát do předmětu: Pokročilé architektury počítačů. Vysoká škola báňská Technická univerzita Ostrava Fakulta elektrotechniky a informatiky referát do předmětu: Pokročilé architektury počítačů na téma: Intel Atom Jan Bajer; baj102 Úvod Během posledních let

Více