Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Rozměr: px
Začít zobrazení ze stránky:

Download "Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011"

Transkript

1 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické v Praze, fakulta informačních technologií Přednáška 8: VERILOG srovnání s VHDL, celková charakteristika Stručný obsah: deklarace modulu, logické a numerické datové typy, příslušné operátory, sítě a proměnné.

2 Použitá literatura: 1. Donald E. Thomas, Philip R. Moorby: The Verilog Hardware Description Language, Kluwer Academic Publishers 1991, 2. Eliezer Sternheim, Rajvir Singh, Yatin Trivedi: Digital Design with Verilog, 3. Michael D. Ciletti: Starter s Quide to Verilog 2001, Prentice Hall 2004, 4. Zainalabedin Navabi: Verilog Digital Design, McGraw- Hill, 2nd Edition 2006, 5. Michael D. Ciletti: Advanced Digital Design with the Verilog HDL, Prentice Hall 2003, 6. Samir Palnitkar: Verilog HDL ( A guide for Digital Design and Synthesis), Prentice Hall MI-SIM Jiří Douša 2

3 Verilog a VHDL standard v r (IEEE Std 1364): v95, v r revize: V01 syntax vychází z jazyka C: rozlišuje malá a velká písmena, // jednořádkový komentář, */ víceřádkový komentář /*, neexistují operátory ++ a -, místo { begin, místo } end obor simulačních hodnot: čtyř-hodnotový (+ síly) - nelze měnit, datové typy: omezené ve srovnání s VHDL: logický typ: 0, 1, x, z (nosiče: reg, sítě), reg: síla strong ( ve smyslu proměnná, nikoliv registr), sítě: různé síly numerické typy: integer, real, time, realtime (nosiče: proměnná příslušného typu) nelze deklarovat nové typy, resoluční funkce: zabudována v propojovacích sítích ( nelze měnit) zpoždění: setrvačné (moduly), transportní (sítě), zdrojový program: množina modulů MI-SIM Jiří Douša 3

4 Verilog a VHDL delta zpoždění: paralelní prostředí a strukturní popis : ne, sekvenčním prostředí: lze jeho efekt vyvolat pomocí neblokujících přiřazení, proměnné jsou statické ( vyjma některých procedur ), modul: samostatná jednotka programu analogie entity a architektury z VHDL, umožňuje mixovat: strukturní popis ( propojení modulů a hradel pomocí sítí ), paralelní prostředí ( continuous assignments ): pouze pro popis kombinačních sítí, nelze ukládat hodnoty do proměnných sekvenční prostředí (procedurální bloky): nelze ukládat hodnoty do sítí, ukládání hodnot pouze do proměnných, blokující nebo neblokující příkazy, existuje knihovna elementárních vestavěných modulů, existuje možnost uživatelsky definovat další elementární moduly ( např. pomocí pravdivostních tabulek ), program: modul nebo zahnizděná množina modulů MI-SIM Jiří Douša 4

5 Deklarace modulu Syntax modulu: module [ # ( < parametry modulu > ) V01 ] endmodule < identifikator modulu > [ (< porty modulu >V01 i specif. ) ] [ < parametry modulu-deklarace konstant > ] V95 i V01 [ < specifikace portů modulu > V95 i V01 ] [ < deklarace proměnných > ] // shared variables [ < deklarace sítí > ] // signály [ < deklarace funkcí > ] [ < deklarace procedur > ] [ < příkazy initial > ] // sekvenční proces [ < příkazy always > ] // sekvenční proces [ < příkazy assign > ] // data-flow příkazy [ < instalace vnitřních modulů, vestavěných elem. členů, modulů UDP ( user-defined primitives ) > ] [ < příkaz generate > ] < deklarace konstant > ::= parameter < identifikátor > = < literál > ; < deklarace proměnných > ::= reg reg signed integer real realtime < deklarace sítí > ::= wire wand wor, trireg Poznámka: nezáleží na pořadí jednotlivých příkazů, MI-SIM Jiří Douša 5

6 Verilog a datové typy skalární: logický ( fyzikální ) interpretace: bez znaménka nebo se znaménkem, počáteční hodnota: x, obor hodnot: 0, 1, x,z nosiče proměnné délky: V95: proměnné typu reg, všechny typy sítí V01: navíc: reg signed, wire signed (interpretace se znaménkem), jednobitové proměnné typu wire není třeba deklarovat numerický typ (abstraktní): integer ( 32 bitů ), poč. hodnota: 0, interpretace: se znaménkem genvar (32 bitů), poč. hodnota: 0 (pouze V 01), interpretace: bez znaménka (nezáporný integer), real ( 64 bitů ), poč. hodnota: 0.0, time ( 64 bitů ), poč. hodnota: 0, interpretace: bez znaménka, realtime ( 64 bitů ), poč. hodnota 0.0, formát jako real nosiče: proměnné ( nutno deklarovat ), strukturované typy V 95: pouze jednorozměrné pole nosiče reg, integer, time V01: libovolný počet dimensí nosiče: reg, reg signed, integer, time, realtime, sítě ( včetně signed) MI-SIM Jiří Douša 6

7 Typy sítí účel: modelovat elektrická propojení => slouží k přenosu hodnot buzení: pouze paralelní příkazy a výstupy modulů, syntax deklarace sítě: < typ sítě>[signed] [<síla>][<#zpoždění sítě>] <seznam identifikátorů>; <typ sítě>: určuje dimensi sítě a typ resoluční funkce pro případ více budičů, wire ( tri ).síť pro spojení více budičů, wand ( triand ).montážní and, wor ( trior ) montážní or, tri0...resoluční funkce jako wire, místo z produkuje vždy 0 síly pull (vodič připojený na zem přes odpor), tri1...resoluční funkce jako wire, místo z produkuje vždy 1 síly pull (vodič připojený na zdroj přes odpor ), supply0.budič hodnoty 0 síly Su, supply1.budič hodnoty 1 síly Su, trireg.kapacitní vodič => po odpojení všech budičů pamatuje poslední hodnotu po dobu specifikovanou v deklaraci <sila>: udává sílu budiče, je-li tento trvale připojen na síť < zpoždění sítě> : má transportní charakter a je přičteno ke zpoždění hradla, které síť budí; šíře filtrovaných pulzů je určena zpožděním samotného hradla Příklad: wire (strong0, weak1) # 2 a=1; // trvalý zdroj 1 zapnutý za 2 ns wire [ 7 : 0 ] a, b, c; // trojice osmibitových sítí (hodnot unsigned), jednobitové sítě typu wire nwní třeba deklarovat MI-SIM Jiří Douša 7

8 Literály Typ reg: interpretace unsigned Typ reg signed: interpretace signed ( pouze V01) Syntax zápisu: [ < délka > ] [ < báze> ] < hodnota > [ < délka > ] s [ < báze >] < hodnota > ( V01) Pravidla interpretace: specifikace délky určuje délku konstanty; pokud tato určuje více bitů než požaduje hodnota, pak jsou zleva doplněny nuly, případně x ( je-li MSB = x ); pokud hodnota vyžaduje více bitů, než určuje délka, pak jsou uvažovány pouze LSBits, při přiřazení je konstanta o dané délce automaticky expandovaná (případně oříznutá) na délku příslušné proměnné, bez specifikace délky je <hodnota> chápána jako 32 bitová, < hodnota> nesmí obsahovat znaménko, < báze>: binární (b nebo B), dekadická (d nebo D), oktalová (o nebo O), hexadecimální (h nebo H), Příklady : 16 hafa.interpretace: 0000_1010_1111_1010, 8 bx01..interpretace: xxxx_xx01, 1 bx01..interpretace: 1, - 8 d5..interpretace: 1111_ MI-SIM Jiří Douša 8

9 Literály Typ integer: nejsou přípustné symboly x a z, počáteční hodnota = 0, V95: různé interpretace literálů chybí specifikace báze: interpretace hodnoty jako čísla se znaménkem, nechybí specifikace báze: interpretace hodnoty jako čísla bez znaménka, příklady: - 31, 1024, +64 signed numbers, hff, 32 d123, 32 b1111 unsigned numbers V01: hff, 32 d123, 32 b1111 unsigned numbers s hff, 32 sd123, 32 sb111..signed numbers příklad: -12 / 4 = -3, - d12 / 4 =. velké číslo ( unsigned ) Typ real: nejsou přípustné symboly x a z, počáteční hodnota = 0.0, v případě desetinné tečky musí být před a za nejméně jedna číslice exponenciální tvar je možný (e nebo E): příklady: -6.55, + 2e10, 5.3E12, etc MI-SIM Jiří Douša 9

10 Deklarace konstant a proměnných Deklarace konstant - příklady: parameter WIDTH = 63, BYTE_ADDRESS = 1023; // signed 32b parameter INITIAL_STATE [ 3:0 ] =4 b1011; // unsigned 4b parameter PI = 3.14; // real 64 b Poznámka: při deklaraci konstant lze použít výrazy Deklarace proměnných příklady: deklarace skalárních proměnných : reg set, reset, FF0; // jednobitová logická proměnná reg [31:0] soucin; // 32 bitová logická proměnná integer i, j; // 32 bitové proměnné real a, b, c; // 64 bitové proměnné time start, stop; // 64 bitové proměnné přístupy k dílčím bitům proměnných: indexace, řezy soucin [ 7 : 0 ].8 nejnižších bitů soucin [ 5- : 4 ].bity 5, 4, 3, 2 soucin [ 8+ : 8 ].bity 15, 14,.., 8 deklarace skalárních sítí: wire s1, s2, s3; // representace jednotlivých vodičů wire [4:0] control_bus; // representace pětice vodičů další typy sítí - viz později MI-SIM Jiří Douša 10

11 Přístupy k polím deklarace polí typu reg: reg [31:0] memm1 [ 0 : 1023 ] ; // paměť 1k slov po 32 bitech real poler [ 0 : 7 ] [ 0 : 15 ] [ 0 : 31 ] ; // tří-rozměrné // pole 32 bitových položek typu real deklarace polí sítí: wire [ 7:0 ] data_bus [ 0 : 3 ]; // jedno-rozměrné pole se // čtyřmi osmi-bitovými skupinami vodičů Přístupy k elementům polí: 1) adresace jednotlivých slov: předpoklad: existence deklarací: reg [ 31 : 0 ] R1; reg [ 7 : 0 ] byte ; R1 = memm1 [ 0 ]; // 0 - té 32 bitové slovo byte = memm1 [ 0 ][ 31- : 8 ] ; // nejvyšší byte 0 - tého slova byte = memm1 [ R1 [ 0+:10 ] ] ; // adresováno 10-ti bity R1 memm1 * 1 + = ; // OK: zápis slova memm1 = ; // chyba: zápis do celé paměti nelze 2) adresace jednotlivých bitů: další index - lze použít i proměnnou reg [ 7 : 0 ] A, B; reg s1; real R; B = 5; A * B + = 1 b0; // nulování 5. bitu s1 = memm1 [ 2 ] [ B ] ; // 2. slovo, 5. bit R = poler [ 3 ] [ 14 ] [ 30 ] ; // nutné zachovat pořadí z deklarace 3) adresace skupin vybraných bitů (řezů): memm1 [ 2 ] [ 5 : 0 ] ; // 2. slovo, bity 5 až MI-SIM Jiří Douša 11

12 Logické operátory Bitwise operátory: ~, &,, ^, ~^,, ^~ ( pro skaláry a vektory ) aplikované na všechny bity vektorů ( i různých délek ), produkují výsledek délky jako delší z operandů, příklad: wire [7:0] a, b, c; assign c [7:0] = a [7:0] & b [7:0]; // totéž co assign c = a & b; Redukční operátory (unární ): & (and), ~& (nand), (or), ~ (nor), ^ (xor), ~^ nebo ^~ (nxor) aplikované na všechny bity vektoru, produkují výsledek s hodnotou 1 nebo 0 nebo x, příklady: & (100101) = 0 (100101) = 1 & (1001x1 ) = 0, ~& (1001x1) = 1, (1001x1 ) = 1, ~ (1001x1) = 0, ^ (1001x1 ) = x ~^ (1001x1 ) = x Relační operátory: <, <=, >, >=, ( pro skaláry a vektory ) produkují x pokud některý vektor obsahuje x nebo z; pro úplně definované operandy pak produkují 1 nebo 0, operandy nemusí být stejné délky, příklady: 1001 < 1110 => hodnota výrazu = > 1110 => hodnota výrazu = 0 11x0 < 0001 => hodnota výrazu = x MI-SIM Jiří Douša 12

13 Logické operátory Relační operátory rovnosti: ( skaláry a vektory i různých délek ) operátory ==,!= : jako výsledek mohou produkovat x pokud některý z operandů obsahuje x nebo z ( jinak 1 nebo 0), operátory ===,!== : uvažují čtyř-hodnotovou logiku a jako výsledek produkují pouze 1 nebo 0 Logické operátory: ~ (negace), && (and), (or) pro skaláry a vektory i různých délek, logické výrazy jsou vyhodnocovány zleva doprava a toto vyhodnocování končí v okamžiku kdy výraz nabude hodnoty 1 nebo 0; mohou produkovat i x, příklady: předpoklad: A = 3 b001, B = 3 b11x A && B. hodnota výrazu = 1 b1 // true && true A & B.. hodnota výrazu = 3 b00x ( bitwise operátor ) A & (&B) hodnota výrazu = 3 b00x ( 001 & x ) A B.. chyba syntaxe Operátory posuvů: <<, >> logické posuvy ( předpoklad: reg [ 7:0 ] A = 8 b1100_1111 ) příklady: A >> 2 => A = 0011_0011 A << 3 => A = 0111_1000 aritmetické posuvy ( reg signed [ 7:0 ] A = 8 b1100_1111 ) příklady: A >> 2 => A = 1111_0011 A << 3 => A = 0111_ MI-SIM Jiří Douša 13

14 Operátor zřetězení: syntax: { < operand>, < operand>,, } zřetězení lze opakovat pomocí prefixu, literál nespecifikované délky nemůže být operandem zřetězení, při zřetězení lze použít libovolný počet operandů a operátory lze vnořovat do libovolné hloubky, operátor zřetězení se může vyskytovat i na levé straně příklad: výstupy úplné binární sčítačky { cout, sum } = { (a & b) (a & cin) ( b & cin), a ^ b ^ cin } ; Příklady: { A, B [2: 0 ], C[5:7] } // jako { A, B[2], B[1], B[0], C[5], C[6], C[7] } { 3 { W -, 3 b1x0 - // jako, W, W, W, 1 b1, 1 bx, 1 b0 - D =, 2, 4b // D = 1010_1010 reg signed [ 3 : 0 ] A ; reg signed [ 7 : 0 ] B; B = { 4 { A [ 3 ] }, A } ; // expanze čtyřbitového čísla (typu signed) // do osmibitové proměnné jinak: B = A ; // expanze proběhne automaticky na základé // typu výrazu na pravé straně MI-SIM Jiří Douša 14

15 Aritmetické operátory binární: +, -, *, /, % ( zachovává znaménko dělence ), V01: ** unární: - definovány pro logické typy (skalární i vektory) i numerické typy, operandy nemusí mít stejnou délku a mohou být různých typů, interpretace: logický typ reg nebo sítˇ jsou interpretovány jako čísla bez znaménka,) VERILOG 2001 interpretuje typy reg nebo sítˇ jako čísla se znaménkem pokud jsou deklarovány jako signed a poskytuje příslušné aritmetické operátory pro libovolné délky operandů, numerický typ integer je interpretován jako číslo se znaménkem (v doplňkovém kódu), pokud hodnota některého bitu některého operandu je x, pak výsledkem je neznámá hodnota, pokud všechny operandy nějakého výrazu jsou interpretovány jako čísla se znaménkem pak jsou použity operátory pro čísla se znaménkem, jinak operátory pro čísla bez znaménka, pokud některý operand je typu real je výsledek typu real, konversní funkce pro změnu interpretace ( VERILOG 2001): $signed vrací interpretaci číslo se znaménkem $unsigned vrací číslo bez znaménka MI-SIM Jiří Douša 15

16 Aritmetické operátory Příklady: integer a, b ; // signed reg [ 63 : 0 ] c ; // unsigned a = 12; b = -4; c = 8; a = a / b ; // výsledek -3 ( operátor pro signed ) b = c / b ; // výsledek = 0 ( operátor pro unsigned ) integer b ; reg signed [ 63 : 0 ] c ; // V01: signed b = -4; c = 8; b = c / b ; // výsledek = -2 ( operátor pro signed ) integer a, b ; reg [ 63 : 0 ] c ; // V01: unsigned a = 12; b = -4; c = 8; a = a / 4 ; // výsledek 3 b = $signed (c) / b ; // výsledek = -2 reg signed [ 31 : 0 ] c, d, e ; // V01: signed c = 12; d = 12; e = 12; c = c / -3 ; // signreg / signint: výsledek -4 d = d / -32 d2; // signreg / unsignint : výsledek 0 e = e / -32 sd2; // signreg / signint. výsledek = MI-SIM Jiří Douša 16

17 Přiřazovací příkazy hodnota výsledku: ovlivněna délkou operandů i délkou proměnné na levé straně příkazu, vyhodnocování výrazu pravé strany: mezivýsledky se ukládají na délku určenou nejdelším operandem, uložení výsledné hodnoty výrazu: na délku určenou proměnnou na levé straně příkazu, Příklady: reg [7:0] a = 8 b1111_1111; reg [7:0] b = 8 b0000_0001; reg [7:0] c ; r eg [8:0] c1 ; // následují jednotlivé možnosti: c = a + b; // c = 0000_0000, ztráta přesnosti c1 = a + b; // c1 = 1_0000_0000 c = ( a + b) >>1; // c = 0000_0000, pouze posun mezivýsledku c1 = a + b; // c1 = 1_0000_0000 c = c1 >> 1; // c = 1000_0000 c = ( 0 + a + b ) >> 1; // c = 1000_0000, // délka operandu 0 = 32 bitů MI-SIM Jiří Douša 17

18 Paralelní příkazy Continuous assingment statements: jde o funkční modely kombinačních obvodů: tyto se vyhodnotí po každé změně hodnot proměnných nebo sítí na pravé straně, na levé straně příkazu musí být identifikátor sítě, výraz pravé strany může obsahovat funkce, arit. i logické operace, příkazy deklarace sítí a příkazy assign lze kombinovat syntax: assign [ < síla>] [ <zpoždění>] < identifikátor sítě > = < výraz >,..; Poznámka: assign..příkaz budíče dané síly + daného zpoždění Příklady: wire a, b, c, sum, cout; // deklarace sítí assign sum = a ^ b ^ c ; // výstup sčítačky (síla strong, nulové // zpoždění) assign cout = ( a & b ) ( a & c ) ( b & c ) ; // přenos totéž: assign sum = a ^ b ^ c, cout = ( a & b ) ( a & c ) ( b & c ) ; wire sum = a ^ b ^ c ; // deklarace sítě + implicitní přiřazení // (nulové zpoždění sítě a log. členu) wire #5 sum = a ^ b ^ c ; // zpoždění 5 wire [ 3 : 0 ] a, b ; // deklarace dvou čtyř-bitových sítí wire [ 3 : 0 ] #4 AXorB ; // zpoždění sitě AXorB, bude // přiřazeno každému budiči této sítě assign #2 AXorB = a ^ b ; // následuje jediný podmíněný paralelní příkaz: assign b = ( sel )? a : b; // b= if (sel) then a else b ; MI-SIM Jiří Douša 18

19 Paralelní příkazy Příklad: čtyř bitový komparátor kompletní modul module Komp ( input [ 3 : 0 ] a, b, output agb, aeb, alb ) ; // následují paralelní příkazy: nezáleží na pořadí assign agb = ( a > b ), // buzení tří různých portů ( typ wire ) aeb = ( a == b ), alb = ( a < b ) ; endmodule Příklad: čtyř bitový komparátor do kaskády module Komp ( input [ 3 : 0 ] a, b, input g, e, l, output agb, aeb, alb ) ; assign agb = ( a == b )? g : ( a > b ), aeb = ( a == b )? e : 1 b0, alb = ( a == b )? l : ( a < b ) ; endmodule Poznámka: podmíněné výrazy lze vnořovat Příklad: dekodér binárního kódu do kódu 1 ze 4 assign { y3, y2, y1, y0 } = ( { a, b } == 2 b00 )? 4 b0001 : ( { a, b } == 2 b01 )? 4 b0010 : ( { a, b } == 2 b10 )? 4 b0100 : ( { a, b } == 2 b11 )? 4 b1000 : 4 b0000 ; MI-SIM Jiří Douša 19

20 Resoluční funkce sítí Příklad: reg a, b; wire y1; wand y2; wor y3; assign y1 = a, y1 = b ; // implicitní síla = St (strong) assign y2 = a, y2 = b ; assign y3 = a, y3 = b ; resoluční funkce wire a 0 1 x z 0 1 b b x z HiZ y1 rezoluční funkce wand: x z a 1 x y2 z b HiZ rezoluční funkce wor: x z a 1 x y3 z HiZ MI-SIM Jiří Douša 20

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) imní semestr 2/2 Jiří Douša, katedra číslicového návrhu (K83), České vysoké učení technické v Prae,

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

1.1 Struktura programu v Pascalu Vstup a výstup Operátory a některé matematické funkce 5

1.1 Struktura programu v Pascalu Vstup a výstup Operátory a některé matematické funkce 5 Obsah Obsah 1 Programovací jazyk Pascal 1 1.1 Struktura programu v Pascalu.................... 1 2 Proměnné 2 2.1 Vstup a výstup............................ 3 3 Operátory a některé matematické funkce 5

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Programovací jazyk Pascal

Programovací jazyk Pascal Programovací jazyk Pascal Syntaktická pravidla (syntaxe jazyka) přesná pravidla pro zápis příkazů Sémantická pravidla (sémantika jazyka) pravidla, která každému příkazu přiřadí přesný význam Všechny konstrukce

Více

Algoritmizace a programování

Algoritmizace a programování Algoritmizace a programování Výrazy Operátory Výrazy Verze pro akademický rok 2012/2013 1 Operace, operátory Unární jeden operand, operátor se zapisuje ve většině případů před operand, v některých případech

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Úvod do programovacích jazyků (Java)

Úvod do programovacích jazyků (Java) Úvod do programovacích jazyků (Java) Michal Krátký Katedra informatiky VŠB Technická univerzita Ostrava Úvod do programovacích jazyků (Java), 2007/2008 c 2006 2008 Michal Krátký Úvod do programovacích

Více

Vývoj VHDL. Verilog HDL

Vývoj VHDL. Verilog HDL Popis systémů pomocí VHDL Vývoj VHDL HDL - Hardware Description Language VHDL - Very High Speed Integrated Circuits HDL Vývoj od roku 1983 v rámci projektu VHSIC 1987 - standard IEEE 1076-1987 1993 - revize

Více

5 Přehled operátorů, příkazy, přetypování

5 Přehled operátorů, příkazy, přetypování 5 Přehled operátorů, příkazy, přetypování Studijní cíl Tento studijní blok má za cíl pokračovat v základních prvcích jazyka Java. Konkrétně budou uvedeny detaily týkající se operátorů. Doba nutná k nastudování

Více

Operátory, výrazy. Tomáš Pitner, upravil Marek Šabo

Operátory, výrazy. Tomáš Pitner, upravil Marek Šabo Operátory, výrazy Tomáš Pitner, upravil Marek Šabo Operátor "Znaménko operace", pokyn pro vykonání operace při vyhodnocení výrazu. V Javě mají operátory napevno daný význam, nelze je přetěžovat jako v

Více

- speciální symboly + - * / =., < > <> <= >= a další. Klíčová slova jsou chráněnými útvary, které nelze použít ve významu identifikátorů.

- speciální symboly + - * / =., < > <> <= >= a další. Klíčová slova jsou chráněnými útvary, které nelze použít ve významu identifikátorů. Základní symboly - písmena A B C Y Z a b c y z - číslice 0 1 2 9 - speciální symboly + - * / =., < > = a další - klíčová slova and array begin case const a další Klíčová slova jsou chráněnými útvary,

Více

Čtvrtek 8. prosince. Pascal - opakování základů. Struktura programu:

Čtvrtek 8. prosince. Pascal - opakování základů. Struktura programu: Čtvrtek 8 prosince Pascal - opakování základů Struktura programu: 1 hlavička obsahuje název programu, použité programové jednotky (knihovny), definice konstant, deklarace proměnných, všechny použité procedury

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Obsah. Předmluva 13 Zpětná vazba od čtenářů 14 Zdrojové kódy ke knize 15 Errata 15

Obsah. Předmluva 13 Zpětná vazba od čtenářů 14 Zdrojové kódy ke knize 15 Errata 15 Předmluva 13 Zpětná vazba od čtenářů 14 Zdrojové kódy ke knize 15 Errata 15 KAPITOLA 1 Úvod do programo vání v jazyce C++ 17 Základní pojmy 17 Proměnné a konstanty 18 Typy příkazů 18 IDE integrované vývojové

Více

Programování v jazyce JavaScript

Programování v jazyce JavaScript Programování v jazyce JavaScript Katedra softwarového inženýrství Fakulta informačních technologií České vysoké učení technické v Praze Pavel Štěpán, 2011 Operátory a příkazy BI-JSC Evropský sociální fond

Více

Racionální čísla, operátory, výrazy, knihovní funkce

Racionální čísla, operátory, výrazy, knihovní funkce Příprava studijního programu Informatika je podporována projektem financovaným z Evropského sociálního fondu a rozpočtu hlavního města Prahy. Praha & EU: Investujeme do vaší budoucnosti Racionální čísla,

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL zápis čísel, znaků a řetězců Jazyk VHDL základní datové typy a operátory Kurz

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Úvod do programování. Lekce 1

Úvod do programování. Lekce 1 Úvod do programování Lekce 1 Základní pojmy vytvoření spustitelného kódu editor - psaní zdrojových souborů preprocesor - zpracování zdrojových souborů (vypuštění komentářů atd.) kompilátor (compiler) -

Více

Princip funkce počítače

Princip funkce počítače Princip funkce počítače Princip funkce počítače prvotní úlohou počítačů bylo zrychlit provádění matematických výpočtů první počítače kopírovaly obvyklý postup manuálního provádění výpočtů pokyny pro zpracování

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL konstanty, signály a proměnné Jazyk VHDL paralelní a sekvenční doména Kurz A0B38FPGA

Více

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Organizace předmětu, podmínky pro získání klasifikovaného zápočtu Kurz A0B38FPGA Aplikace

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

Jazyk PL/SQL Úvod, blok

Jazyk PL/SQL Úvod, blok Jazyk PL/SQL Úvod, blok 1 Bc. Tomáš Romanovský Procedural Language for Structured Query Language Součást systému Oracle, rozšíření SQL o procedurální rysy Prostředky pro vytváření a spouštění programových

Více

EVROPSKÝ SOCIÁLNÍ FOND. Úvod do PHP PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI

EVROPSKÝ SOCIÁLNÍ FOND. Úvod do PHP PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI EVROPSKÝ SOCIÁLNÍ FOND Úvod do PHP PRAHA & EU INVESTUJEME DO VAŠÍ BUDOUCNOSTI Úvod do PHP PHP Personal Home Page Hypertext Preprocessor jazyk na tvorbu dokumentů přípona: *.php skript je součást HTML stránky!

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Paměť počítače. alg2 1

Paměť počítače. alg2 1 Paměť počítače Výpočetní proces je posloupnost akcí nad daty uloženými v paměti počítače Data jsou v paměti reprezentována posloupnostmi bitů (bit = 0 nebo 1) Připomeňme: paměť je tvořena řadou 8-mi bitových

Více

Pascal. Katedra aplikované kybernetiky. Ing. Miroslav Vavroušek. Verze 7

Pascal. Katedra aplikované kybernetiky. Ing. Miroslav Vavroušek. Verze 7 Pascal Katedra aplikované kybernetiky Ing. Miroslav Vavroušek Verze 7 Proměnné Proměnná uchovává nějakou informaci potřebnou pro práci programu. Má ve svém oboru platnosti unikátní jméno. (Připadne, musí

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

Výrazy, operace, příkazy

Výrazy, operace, příkazy Výrazy, operace, příkazy Karel Richta a kol. katedra počítačů FEL ČVUT v Praze Přednášky byly připraveny s pomocí materiálů, které vyrobili Ladislav Vágner, Pavel Strnad Karel Richta, Martin Hořeňovský,

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Struktura a architektura počítačů (BI-SAP) 6

Struktura a architektura počítačů (BI-SAP) 6 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 6 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Násobení. MI-AAK(Aritmetika a kódy)

Násobení. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Násobení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

Racionální čísla, operátory, výrazy, knihovní funkce

Racionální čísla, operátory, výrazy, knihovní funkce Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Racionální čísla, operátory, výrazy, knihovní funkce BI-PA1 Programování a algoritmizace 1 Katedra teoretické informatiky Miroslav Balík

Více

Operátory. Základy programování 1 Martin Kauer (Tomáš Kühr)

Operátory. Základy programování 1 Martin Kauer (Tomáš Kühr) Operátory Základy programování 1 Martin Kauer (Tomáš Kühr) Organizační poznámky Formátujte kód přehledně! Pomůžete sobě i mně. Spusťte si vaše programy a zkuste různé vstupy! Pokud program nedává správné

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

v aritmetické jednotce počíta

v aritmetické jednotce počíta v aritmetické jednotce počíta tače (Opakování) Dvojková, osmičková a šestnáctková soustava () Osmičková nebo šestnáctková soustava se používá ke snadnému zápisu binárních čísel. 2 A 3 Doplněné nuly B Číslo

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

MQL4 COURSE. By Coders guru www.forex-tsd.com. -4 Operace & Výrazy

MQL4 COURSE. By Coders guru www.forex-tsd.com. -4 Operace & Výrazy MQL4 COURSE By Coders guru www.forex-tsd.com -4 Operace & Výrazy Vítejte ve čtvrté lekci mého kurzu MQL4. Předchozí lekce Datové Typy prezentovaly mnoho nových konceptů ; Doufám, že jste všemu porozuměli,

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy

Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Ústav radioelektroniky Vysoké učení technické v Brně Číselné soustavy v mikroprocesorové technice Mikroprocesorová technika a embedded systémy Přednáška 8 doc. Ing. Tomáš Frýza, Ph.D. listopad 2012 Obsah

Více

NPRG030 Programování I, 2010/11

NPRG030 Programování I, 2010/11 Podmínka = něco, co JE, nebo NENÍ splněno typ Boolean hodnoty: TRUE pravda FALSE lež domluva (optimistická): FALSE < TRUE když X, Y jsou (číselné) výrazy, potom X = Y X Y X < Y X > Y X = Y jsou

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

for (i = 0, j = 5; i < 10; i++) { // tělo cyklu }

for (i = 0, j = 5; i < 10; i++) { // tělo cyklu } 5. Operátor čárka, - slouží k jistému určení pořadí vykonání dvou příkazů - oddělím-li čárkou dva příkazy, je jisté, že ten první bude vykonán dříve než příkaz druhý. Např.: i = 5; j = 8; - po překladu

Více

Jak v Javě primitivní datové typy a jejich reprezentace. BD6B36PJV 002 Fakulta elektrotechnická České vysoké učení technické

Jak v Javě primitivní datové typy a jejich reprezentace. BD6B36PJV 002 Fakulta elektrotechnická České vysoké učení technické Jak v Javě primitivní datové typy a jejich reprezentace BD6B36PJV 002 Fakulta elektrotechnická České vysoké učení technické Obsah Celočíselný datový typ Reálný datový typ Logický datový typ, typ Boolean

Více

Operátory. Základy programování 1 Tomáš Kühr

Operátory. Základy programování 1 Tomáš Kühr Operátory Základy programování 1 Tomáš Kühr Operátory a jejich vlastnosti Základní konstrukce (skoro) každého jazyka Z daných operandů vytvoří výsledek, který je možné dále využívat Arita udává počet operandů

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh. Ing. Hodál Jaroslav, Ph.D. VY_32_INOVACE_25 09

Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh. Ing. Hodál Jaroslav, Ph.D. VY_32_INOVACE_25 09 Střední průmyslová škola a Vyšší odborná škola technická Brno, Sokolská 1 Šablona: Název: Téma: Inovace a zkvalitnění výuky prostřednictvím ICT Základy programování a algoritmizace úloh Operátory Autor:

Více

Aritmetické operace a obvody pro jejich realizaci

Aritmetické operace a obvody pro jejich realizaci Kapitola 4 Aritmetické operace a obvody pro jejich realizaci 4.1 Polyadické číselné soustavy a jejich vlastnosti Polyadické soustavy jsou určeny přirozeným číslem z, kterému se říká základ nebo báze dané

Více

NPRG030 Programování I, 2016/17 1 / :58:13

NPRG030 Programování I, 2016/17 1 / :58:13 NPRG030 Programování I, 2016/17 1 / 31 10. 10. 2016 10:58:13 Podmínka = něco, co JE, nebo NENÍ splněno typ Boolean hodnoty: TRUE pravda FALSE lež domluva (optimistická): FALSE < TRUE NPRG030 Programování

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

PHP - úvod. Kapitola seznamuje se základy jazyka PHP a jeho začleněním do HTML stránky.

PHP - úvod. Kapitola seznamuje se základy jazyka PHP a jeho začleněním do HTML stránky. PHP - úvod Kapitola seznamuje se základy jazyka PHP a jeho začleněním do HTML stránky. Klíčové pojmy: PHP, webový prohlížeč, HTTP, FTP Základní pojmy služba WWW = 1990 první prototyp serveru, od roku 1994

Více

Programovací jazyk. - norma PASCAL (1974) - implementace Turbo Pascal, Borland Pascal FreePascal Object Pascal (Delphi)

Programovací jazyk. - norma PASCAL (1974) - implementace Turbo Pascal, Borland Pascal FreePascal Object Pascal (Delphi) Programovací jazyk - norma PASCAL (1974) - implementace Turbo Pascal, Borland Pascal FreePascal Object Pascal (Delphi) Odlišnosti implementace od normy - odchylky např.: nepovinná hlavička programu odlišná

Více

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení

LOGICKÉ ŘÍZENÍ. Matematický základ logického řízení Měřicí a řídicí technika bakalářské studium - přednášky LS 28/9 LOGICKÉ ŘÍZENÍ matematický základ logického řízení kombinační logické řízení sekvenční logické řízení programovatelné logické automaty Matematický

Více

VÝRAZY výrazy = operandy prokládané operátory, vyhodnocované podle priority operátorů

VÝRAZY výrazy = operandy prokládané operátory, vyhodnocované podle priority operátorů VÝRAZY výrazy = operandy prokládané operátory, vyhodnocované podle priority operátorů Výrazy podle priority operátorů (od nejnižší priority) OPERANDY OPERÁTORY výraz = jednoduché výrazy a relační operátory

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Tematický celek Proměnné. Proměnné slouží k dočasnému uchovávání hodnot během provádění aplikace Deklarace proměnných

Tematický celek Proměnné. Proměnné slouží k dočasnému uchovávání hodnot během provádění aplikace Deklarace proměnných Tematický celek 03 3.1 Proměnné Proměnné slouží k dočasnému uchovávání hodnot během provádění aplikace. 3.1.1 Deklarace proměnných Dim jméno_proměnné [As typ] - deklarace uvnitř procedury platí pouze pro

Více

Algoritmizace a programování. Ak. rok 2012/2013 vbp 1. ze 44

Algoritmizace a programování. Ak. rok 2012/2013 vbp 1. ze 44 Algoritmizace a programování Ak. rok 2012/2013 vbp 1. ze 44 Vladimír Beneš Petrovický K101 katedra matematiky, statistiky a informačních technologií vedoucí katedry E-mail: vbenes@bivs.cz Telefon: 251

Více

Programování v C++ Úplnej úvod. Peta (maj@arcig.cz, SPR AG 2008-9)

Programování v C++ Úplnej úvod. Peta (maj@arcig.cz, SPR AG 2008-9) Programování v C++ Úplnej úvod Co se naučíte? tak samozřejmě C++, s důrazem na: dynamické datové struktury Objektově Orientované Programování STL (standardní knihovna šablon) vytváření vlastních šablon

Více

Java reprezentace dat, výrazy. A0B36PR1-Programování 1 Fakulta elektrotechnická České vysoké učení technické

Java reprezentace dat, výrazy. A0B36PR1-Programování 1 Fakulta elektrotechnická České vysoké učení technické Java reprezentace dat, výrazy A0B36PR1-Programování 1 Fakulta elektrotechnická České vysoké učení technické Dva základní přístupy k imperativnímu programování Strukturované procedurální Objektové V PR1

Více

Výrazy a operátory. Operátory Unární - unární a unární + Např.: a +b

Výrazy a operátory. Operátory Unární - unární a unární + Např.: a +b Výrazy a operátory i = 2 i = 2; to je výraz to je příkaz 4. Operátory Unární - unární a unární + Např.: +5-5 -8.345 -a +b - unární ++ - inkrement - zvýší hodnotu proměnné o 1 - unární -- - dekrement -

Více

CZ.1.07/1.5.00/

CZ.1.07/1.5.00/ Celá čísla Celočíselný typ má označení INTEGER. Kromě tohoto základního jsou k dispozici ještě další celočíselné typy, které uvádí následující tabulka. Každý typ umožňuje definovat určitý rozsah celých

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Úvod do informačních technologií

Úvod do informačních technologií Úvod do informačních technologií přednášky Jan Outrata září prosinec 2009 (aktualizace září prosinec 2012) Jan Outrata (KI UP) Úvod do informačních technologií září prosinec 2012 1 / 58 Binární logika

Více

Data, výrazy, příkazy

Data, výrazy, příkazy Data, výrazy, příkazy Karel Richta a kol. katedra počítačů FEL ČVUT v Praze Přednášky byly připraveny s pomocí materiálů, které vyrobili Ladislav Vágner, Pavel Strnad, Martin Hořeňovský, Aleš Hrabalík

Více

Sčítačky Válcový posouvač. Demonstrační cvičení 6

Sčítačky Válcový posouvač. Demonstrační cvičení 6 Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

24-2-2 PROMĚNNÉ, KONSTANTY A DATOVÉ TYPY TEORIE DATUM VYTVOŘENÍ: 23.7.2013 KLÍČOVÁ AKTIVITA: 02 PROGRAMOVÁNÍ 2. ROČNÍK (PRG2) HODINOVÁ DOTACE: 1

24-2-2 PROMĚNNÉ, KONSTANTY A DATOVÉ TYPY TEORIE DATUM VYTVOŘENÍ: 23.7.2013 KLÍČOVÁ AKTIVITA: 02 PROGRAMOVÁNÍ 2. ROČNÍK (PRG2) HODINOVÁ DOTACE: 1 24-2-2 PROMĚNNÉ, KONSTANTY A DATOVÉ TYPY TEORIE AUTOR DOKUMENTU: MGR. MARTINA SUKOVÁ DATUM VYTVOŘENÍ: 23.7.2013 KLÍČOVÁ AKTIVITA: 02 UČIVO: STUDIJNÍ OBOR: PROGRAMOVÁNÍ 2. ROČNÍK (PRG2) INFORMAČNÍ TECHNOLOGIE

Více

Přednáška 7. Celočíselná aritmetika. Návratový kód. Příkazy pro větvení výpočtu. Cykly. Předčasné ukončení cyklu.

Přednáška 7. Celočíselná aritmetika. Návratový kód. Příkazy pro větvení výpočtu. Cykly. Předčasné ukončení cyklu. Přednáška 7 Celočíselná aritmetika. Návratový kód. Příkazy pro větvení výpočtu. Cykly. Předčasné ukončení cyklu. 1 Příkaz expr výraz Celočíselná aritmetika I Zašle na standardní výstup vyhodnocení výrazu

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Zápis programu v jazyce C#

Zápis programu v jazyce C# Zápis programu v jazyce C# Základní syntaktická pravidla C# = case sensitive jazyk rozlišuje velikost písmen Tzv. bílé znaky (Enter, mezera, tab ) ve ZK překladač ignoruje každý příkaz končí ; oddělovač

Více

Struktura a architektura počítačů (BI-SAP) 5

Struktura a architektura počítačů (BI-SAP) 5 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 5 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

9.3.2010 Program převod z desítkové na dvojkovou soustavu: /* Prevod desitkove na binarni */ #include <stdio.h>

9.3.2010 Program převod z desítkové na dvojkovou soustavu: /* Prevod desitkove na binarni */ #include <stdio.h> 9.3.2010 Program převod z desítkové na dvojkovou soustavu: /* Prevod desitkove na binarni */ #include int main(void) { int dcislo, kolikbcislic = 0, mezivysledek = 0, i; int vysledek[1000]; printf("zadejte

Více

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly

Základní principy zobrazení čísla Celá čísla s pevnou řádovou čárkou Zobrazení reálných čísel Aritmetika s binárními čísly Počítačové systémy Zobrazení čísel v počítači Miroslav Flídr Počítačové systémy LS 2007-1/21- Západočeská univerzita v Plzni Vážený poziční kód Obecný předpis čísla vyjádřeného v pozičním systému: C =

Více

a operačních systémů

a operačních systémů NSWI2 2/2 ZS Principy počítačů a operačních systémů INSTRUKCE Kdybych nařídil generálovi, aby létal od květině ke květině a on by rozkaz neprovedl, nebyla by to chyba generálova, ale moje. král asteroidu

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

7. Datové typy v Javě

7. Datové typy v Javě 7. Datové typy v Javě Primitivní vs. objektové typy Kategorie primitivních typů: integrální, boolean, čísla s pohyblivou řádovou čárkou Pole: deklarace, vytvoření, naplnění, přístup k prvkům, rozsah indexů

Více

1. lekce. do souboru main.c uložíme následující kód a pomocí F9 ho zkompilujeme a spustíme:

1. lekce. do souboru main.c uložíme následující kód a pomocí F9 ho zkompilujeme a spustíme: 1. lekce 1. Minimální program do souboru main.c uložíme následující kód a pomocí F9 ho zkompilujeme a spustíme: #include #include int main() { printf("hello world!\n"); return 0; 2.

Více

Odvozené a strukturované typy dat

Odvozené a strukturované typy dat Odvozené a strukturované typy dat Petr Šaloun katedra informatiky FEI VŠB-TU Ostrava 14. listopadu 2011 Petr Šaloun (katedra informatiky FEI VŠB-TU Ostrava) Odvozené a strukturované typy dat 14. listopadu

Více