Fyzický návrh - postup. Finalizace čipu. Ochranný prstenec (Sealring) Konečné úpravy čipu Finální verifikace. Konečné úpravy layoutu: Logo

Rozměr: px
Začít zobrazení ze stránky:

Download "Fyzický návrh - postup. Finalizace čipu. Ochranný prstenec (Sealring) Konečné úpravy čipu Finální verifikace. Konečné úpravy layoutu: Logo"

Transkript

1 Fyzický návrh - postup Postup fyzického návrhu čipu Importování návrhu (Netlist, knihovny, ) Návrh plochy čipu (Floorplanning) Rozmístění (Placement) Návrh rozvodu hodinového signálu (Clock Tree Synthesis) Propojení (Routing) Post-optimalizace propojení Verifikace (DRC, LVS, ERC ) Ochranný prstenec (Sealring) Každá maska je periodicky opakována m9 Konečné úpravy čipu Finální verifikace Wafer Sealring Maska Sealring m3 m2 Via2 Chip Speciální návrhová pravidla Vysoká hustota kontaktů m1 Via1 Cont diff Konečné úpravy layoutu: Logo základní kroky Čip a31_rel1._6m2t projname_rel_metaloption Identifikace (vrhchní metalizace) Musí splňovat návrhová pravidla a31_rel1._6m2t Logo Tape-out aneb páska ven Základní DRC kontrola Kontrola masek/device integrity scan Odeslání návrhu do pekárny (technologické zpracování) Poslední kontroly před výrobou masek Výroba masek Technologické zpracování, Testování, Rozřezání,

2 EUROPRACTICE IC Servis Europractice technologie Dodavatelé CAD vendors Library vendors Zákazník Foundries Packaging houses Test Houses AMI Semiconductor (AMIS).7µ,.5µ a.35µ CMOS digitální, analogové a high-voltage.7µ,.5µ a.35µ CMOS. austriamicrosystems.8µ -.18µ CMOS digitální, analogové a high-voltage.8µ a.18µ CMOS, highspeed.35µ SiGe BiCMOS a.35µ CMOS OPTO pro zobrazovací senzory. TSMC.5u až 65nm, digitální, analogové, mixedsignal/rf, e-flash, SiGe, CIS (CMOS Imaging Sensor) Europractice technologie Tape-out UMC.25u až 9nm, digitální, analogové, CIS (CMOS Imaging Sensor) TRONICS SOI-H.A.R.M. MEMS proces MEMSOI MPW -Tronics 6µm thick SOI High Aspect Ratio Micromachining (SOI- H.A.R.M.). MEMSCAP MEMS proces PolyMUMPs, SOIMUMPs, a MetalMUMPs. Tapeout Zákazník (návrhář IC) Europractice Technologická realizace a testování návrhu Základní DRC Kontrola masek/device integrity scan Výroba masek Odeslání návrhu pro tech. realizace DB kontrola Řezání desek Tech. realizace Záklacní DRC Kontroluje pouze, jestli IO nezničí další čipy na společném křemíkovém plátku (waferu). Rozdílné strategie např. UMC - TSMC UMC : Provádí DRC sami TSMC : potřebují pouze report po DRC kontrole. LVS a ERC se v této fázi již neprovádí Vrstvy / integrity scan Kontroluje, jestli použité vrstvy můžou být technologicky zpracovány. Příklady: Přítomnost všech potřebných vrstev hipo (high ohmic polysilicon) rezistory pro analogový návrh Vrstva pro low leakage transistors (high Vt) Metal to metal kapacitory Trojitá jáma ( p-jáma v n-jámě) E2prom (potřebuje 2 poly vrstvy)

3 Potřeba velice zkušených expertů Příklady: Kontaktovací plošky a pasivace Připojení IO prstenců Napájecí kontaktovací plošky Správná orientace layoutu (zrcadlení, převrácení ) Otevřené plochy (nevnořené buňky ) Plánování výroby Kalendář a ceny přes Europractice Rezervace pro výrobu čipu Jaké informace jsou požadovány? (1) Počet metalizací Tloušťky metalických vrstev Metal-Metal kapacitory : ano, ne Seznam všech IP bloků Tloušťka křemíkového plátku Důležité pro pouzdření Jaké informace jsou požadovány? (2) Počet křemíkových plátků (waferů) Velikost obvodu UMC : jednotná velikost 4x4 mm TSMC : platí se za plochu Max velikost (2 x 2 mm nebo 25x25 mm) Může být problém pro realizaci některých senzorů Tape-out form (1)

4 Tape-out form (2) Tape-out form (3) STI : supplementary technology info Tape-out form (4) Tape-out form (5) Tape-out form (6) Výrobní proces - časování: assemble MPW reticle MT layers : masking technology layers Translation from GDS layers to masks

5 Tape-out - Finalizace a testování návrhu DB check kontrola databáze dat Podobný jako integrity scan Tapeout Základní DRC Kontrola masek/device integrity scan Provádí výrobce Zákazník europractice/.. Odeslání návrhu pro tech. realizace DB kontrola Databáze vrstev GDSII Technologická realizace Výroba masek Tech. realizace Řezání desek Postup výroby masek Mask Synthesis Flow Techniky pro zlepšení rozlišení Resolution enhancement techniques GDSII 18nm 13nm 9nm and Below Resolution Enhancement Techniques RET Návrh Maska OPC PSM 18 MDP OPC 18 Mask Manufacturing OPC (Optical Proximity Correction) simulace MRC (Mask Rule Check) Převod dat Wafer diffraction OPC Optical Proximity Correction PSM Phase Shift Margin Optical Proximity Correction Optical Proximity Correction(2) Původní návrh layoutu je nutné předělat kuli optickým nepřesnostem přenosu motivu na čip Stále větší procento masek vyžaduje OPC/RET techniky Bez OPC OPC Korekce S OPC % of RET Původní layout Potřené pro technologie 9nm a menší Technology Node (nm)

6 Optical Proximity Correction(3) Optical Proximity Correction : Čas potřebný pro generování jedné masky S menšími technologickými uzly je nutná přesnější OPC Počet E-beam kroků potřebných pro generování jedné masky (Giga) Původní layout Jemná korekce Detailnější korekce Plocha čipu 12mm nm 65nm 45nm Technologie OPC : Čas potřebný pro generování jedné masky Maska s invertováním fáze 18nm 13nm 9nm a méně Čas (hodin) 28 Návrh Plocha čipu12mm 2 Maska OPC PSM 18 8 OPC nm 65nm 45nm Technologie Wafer Vlnová délka: 193nm Maska pro invertování fáze Postup výroby masek Mask Synthesis Flow Konvenční maska Alternativní PSM GDSII Návrh Průřez maskou Velice nákladné Resolution Enhancement Techniques Shifter Elektrické pole Součet Intenzita interference - MDP Mask Manufacturing OPC (Optical Proximity Correction) simulace MRC (Mask Rule Check) Převod dat

7 OPC simulace - Silicon Versus Layout + MRC (Mask Rule Check) Nástroje pro generování a kontrolu motivu masek - EDA Vendors SIVL porovnává původní návrh s OPC návrhem. Kontroluje, zdali je vygenerovaný motiv převeditelný na substrát. Layout bez DRC chyb Synopsys Mentor Graphics Cadence Syntéza masek: OPC/PSM.. Litografické modely SiVL CATS Calibre OPC Calibre OPCverify Calibre RET Assura OPC/PSM Motiv masky MRC- Mask rule check Kind of complex DRC SiVL Výroba masky Kontrola masek Tape-out - Finalizace Během výroby Malé nečistoty (3 nm) Musí být provedeno rychle (+/- 2 h) Veliké dobrodružství protože vlnová délka kontrolního světelného zdroje je větší než motivy na masce. Tapeout Zákazník Zákazník/europractice/.. Technologická realizace a testování návrhu Základní DRC Kontrola masek/device integrity scan Výroba masek Odeslání návrhu pro tech. realizace DB kontrola Řezání desek Tech. realizace Řezání waferů na čipy Řezání pomocí laseru Diamantová pila: stará technika! Wafer jet Total reflection Laser beam Cutting width Řezání pomocí laseru Vypálenný řez na waferu Zařízení pro Laser-Microjet 1 řezání Vypálenný řez - detail

8 Tape-out - Finalizace a testování návrhu Tapeout Zákazník Zákazník/europractice/.. Technologická realizace Základní DRC Kontrola masek/device integrity scan Odeslání návrhu pro tech. realizace DB kontrola Výroba masek Tech. realizace Řezání desek Shrnutí První komerční planární IO Fairchild -- One Binary Digital (Bit) Memory Device on a Chip 4 Tranzistory a 5 Rezistorů ZAČÁTEK TECHNOLOGIE SSI (SMALL SCALE INTEGRATION) 1961: duální flip-flop cena ~ $5 1963: Vyšší hustota a výtěžnost 4 x flip flop. Dnešní čipy Intel Nehalem - plocha čipu má velikost přibližně 246 mm 2 při 45nm výrobní technologii 731 milionů tranzistorů - každé jádro má 32 kb instrukční a 32 kb datové L1 a 256 kb L2 cache, 8 MB L3 cache je sdílená mezi všechna jádra Kdy už to skončí??? Vývoj CMOS technologií Pokračování

9 Pokračování - A2M34NIS Zaměření elektronika Úloha a význam návrháře analogových a digitálních integrovaných systémů; metodologie návrhu IO (top down, bottom up), úrovně abstrakce návrhu - Y diagram Typy aplikačně specifických integrovaných systémů, plně zákaznický návrh, hradlová pole, standardní buňky, programovatelné obvody; typy, porovnání vlastností Plně zákaznické integrované systémy, studie proveditelnosti, definování specifikací, kriteria výběru vhodné technologie.mické aspekty návrhu CAD prostředky a standardy pro návrh analogových a smíšených integrovaných obvodů, návrhy RF systémů, mobilních systémů s nízkou spotřebou. Prostředky pro automatické generování analogových behaviorálních modelů, metodologie návrhu "zdola nahoru", makrobloky. Principy návrhu smíšených analogově číslicových integrovaných systémů, význam hierarchického členění návrhu, rozhraní mezi číslicovým a analogovým blokem, prostředky automatizovaného návrhu CAD; funkční a časové simulace, formální verifikace; jazyky Verilog-A, Verilog-AMS, VHDL-A. Pokračování - A2M34NIS Aspekty návrhu vysokofrekvenčních a radiových integrovaných obvodů (RFIC WLAN), metody návrhu, architektury; technologie, simulátor Spectre RF. Aspekty návrhu vysokofrekvenčních a radiových integrovaných obvodů (RFIC WLAN), metody návrhu, architektury; technologie, simulátor Spectre RF. Návrh "Frond End" - funkční specifikace, RTL, Logická syntéza, Gate-level netlist, generování behaviorálních stimulů. Návrh "Back End" - Výběr technologie (Design Kit), mapování návrhu, návrh rozmístění (Floorplanning), propojení (place and route), layout, extrakce parazitních vlivů, layout versus schéma (LVS) Metody fyzické syntézy, rozmisťování funkčních bloků, zásady, rozvod napájení, výpočet a simulace průchodnosti propojení, verifikace. Rozvod hodinových signálů, výpočet zpoždění, statické a dynamické časové analýzy. Testování, n Verifikace integrovaných systémů, problematika převodu návrhu systému mezi jednotlivými technologiemi. ávrh testů, verifikace návrhu. Pokračování - A2M34NIS - cvičení Návrhový systém CADENCE Popis knihoven technologií CMOS, Ukázka postupu smíšeného návrhu, význam hierarchického členění, abstrakce bloků. simulace, definování rozhraní, simulátor Spectre AMS. simulace v rozích. Analogový layout, extrakce parazitik, kontrola návrhových pravidel. Digitální layout (Back end), umístění bloků, propojení, časové analýzy. Semestrální projekt - návrh číslicově analogového IO. Semestrální projekt - návrh číslicově analogového IO. Prezentace semestrálního projektu, zápočet Moodle Zkouška - materiály Pěkné vánoce a hodně tranzistorů pod stromečkem

Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod

Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod Jak navrhnout systém se 700 mil. Tranzistorů? Digitální Časová analýza Návrh topologie Dělení u na subsystémy Návrh je rozdělen na jednotlivé bloky a ty na další sub-bloky Použití již existujících ů Rychlejší

Více

Rozmístění bloků. Digitální návrh II. Detailní rozmístění: nejdříve Nahrubo. Rozmístění bloků zakázané oblasti. Rozmístění zakázané oblasti

Rozmístění bloků. Digitální návrh II. Detailní rozmístění: nejdříve Nahrubo. Rozmístění bloků zakázané oblasti. Rozmístění zakázané oblasti Rozmístění bloků Standardní buňky jsou rozmístěny do skupin tak, aby počet propojení mezi jednotlivými skupinami byl minimální. Toto se řeší pomocí rozdělení návrhu na jednotlivé bloky. Digitální návrh

Více

Aplikace elektroniky. Čím se budeme zabývat? Struktury integrovaných systémů A2M34SIS. Čím se budeme zabývat - cvičení?

Aplikace elektroniky. Čím se budeme zabývat? Struktury integrovaných systémů A2M34SIS. Čím se budeme zabývat - cvičení? Čím se budeme zabývat? Struktury integrovaných systémů A2M34SIS Přednášející: Cvičící: Jiří Jakovenko Vladimír Janíček Jan Novák Historický přehled vývoje integrovaných obvodů, Moorovy zákony, metody návrhu,

Více

Návrhová pravidla pro návrh topologie (layoutu) čipu Vzájemné sesazení masek kontaktu, poly

Návrhová pravidla pro návrh topologie (layoutu) čipu Vzájemné sesazení masek kontaktu, poly Navrhované a skutečné rozměry Návrhová pravidla pro návrh topologie (layoutu) čipu Vzájemné sesazení masek kontaktu, poly Minimální šířka motivu Minimální vzdálenost motivů Minimální a maximální rozměr

Více

SYSTÉMY NAČIPU MI-SOC

SYSTÉMY NAČIPU MI-SOC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti SYSTÉMY NAČIPU MI-SOC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana Kubátová

Více

Navrhované a skutečné rozměry. Návrhová pravidla pro návrh topologie (layoutu) čipu. Základní parametry návrhových pravidel

Navrhované a skutečné rozměry. Návrhová pravidla pro návrh topologie (layoutu) čipu. Základní parametry návrhových pravidel Navrhované a skutečné rozměry Změna skutečných rozměrů oproti navrhovaným Al spoje Kontaktní otvor v SiO Návrhová pravidla pro návrh topologie (layoutu) čipu Jiří Jakovenko Difuzní oblast N+ Vzájemné sesazení

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

9. Praktická verifikace

9. Praktická verifikace Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt 9. Praktická verifikace EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI Pravidla, postupy Testovací prostředí

Více

Dvoustupňový Operační Zesilovač

Dvoustupňový Operační Zesilovač Dvoustupňový Operační Zesilovač Blokové schéma: Kompenzační obvody Diferenční stupeň Zesilovací stupeň Výstupní Buffer Proudové reference Neinvertující napěťový zesilovač Invertující napěťový zesilovač

Více

Metodika návrhu analogově digitálních integrovaných obvodů

Metodika návrhu analogově digitálních integrovaných obvodů > Metodika návrhu analogově digitálních integrovaných obvodů Studijní materiál k předmětu A4M34SIS ČVUT FEL katedra mikroelektroniky Abstrakt: - Článek obsahuje stručný náhled na problematiku návrhu integrovaných

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI 7. Pracovní postupy Posloupnosti analytických a syntetických

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 9 SYSTÉMOVÝ NÁVRH, IP-CORES doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Profilová část maturitní zkoušky 2015/2016

Profilová část maturitní zkoušky 2015/2016 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2015/2016 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

5. Napište čtyři hlavní skupiny obvodů ASIC (Aplikačně Specifické Integrované Obvody)

5. Napište čtyři hlavní skupiny obvodů ASIC (Aplikačně Specifické Integrované Obvody) 1. Kdy a kým byl vynalezen integrovaný obvod? 1958 Jack Kilby (Texas Instruments) 2. Jaké jsou dnešní nejmodernější technologie integrovaných obvodů? Napište hlavní vlastnosti a charakteristiky. Vysoká

Více

AGP - Accelerated Graphics Port

AGP - Accelerated Graphics Port AGP - Accelerated Graphics Port Grafiku 3D a video bylo možné v jisté vývojové etapě techniky pracovních stanic provozovat pouze na kvalitních pracovních stanicích (cena 20 000 USD a více) - AGP představuje

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

Moderní trendy v pouzdření elektronických obvodů a systémů Modern Trends in Electronic Circuits and Systems Packaging

Moderní trendy v pouzdření elektronických obvodů a systémů Modern Trends in Electronic Circuits and Systems Packaging Moderní trendy v pouzdření elektronických obvodů a systémů Modern Trends in Electronic Circuits and Systems Packaging Ivan Szendiuch, VUT v Brně, FEKT, ÚMEL, Údolní 53, 602 00 Brno, szend@feec.vutbr.cz

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

Technologie CMOS. Je to velmi malý svět. Technologie CMOS Lokální oxidace. Vytváření izolačních příkopů. Vytváření izolačních příkopů

Technologie CMOS. Je to velmi malý svět. Technologie CMOS Lokální oxidace. Vytváření izolačních příkopů. Vytváření izolačních příkopů Je to velmi malý svět Technologie CMOS Více než 2 000 000 tranzistorů v 45nm technologii může být integrováno na plochu tečky za větou. From The Oregonian, April 07, 2008 Jiří Jakovenko Struktury integrovaných

Více

Den pro partnery Masarykova universita - Fakulta informatiky

Den pro partnery Masarykova universita - Fakulta informatiky Den pro partnery Masarykova universita - Fakulta informatiky 2011-04 Základní informace hlavní sídlo: Phoenix, Arizona, USA celosvětově téměř 20 000 zaměstnanců jeden z předních světových výrobců: analogových

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 8 SÍTĚ NAČIPU (NOC) doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informační systémy 2 Obsah: Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informační systémy 2 03 Informační systémy

Více

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

Nové technologie pro vozidla s elektrickým pohonem - vývoj a výzkum v projektech E 3 CAR a Pollux

Nové technologie pro vozidla s elektrickým pohonem - vývoj a výzkum v projektech E 3 CAR a Pollux Association for European NanoElectronics ActivitieS ELEKTRONICKÝ VÝVOJ A VÝROBA V ČR Technologické inovační centrum ČKD 7. červen 2011 Praha, ČR Nanoelectronics for an Energy Efficient Electrical Car -

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

1. Kdy a kým byl vynalezen integrovaný obvod? 1958 Jack Kilby (Texas Instruments).

1. Kdy a kým byl vynalezen integrovaný obvod? 1958 Jack Kilby (Texas Instruments). 1. Kdy a kým byl vynalezen integrovaný obvod? 1958 Jack Kilby (Texas Instruments). 2. Jaké jsou dnešní nejmodernější technologie integrovaných obvodů? Napište hlavní vlastnosti a charakteristiky. Vysoká

Více

A Metodologie návrhu ERD (Batini, Ceri, Navathe)

A Metodologie návrhu ERD (Batini, Ceri, Navathe) A Metodologie návrhu ERD (Batini, Ceri, Navathe) A.1. Strategie návrhu ERD... 2 A.2. Zdroje informací pro tvorbu ERD... 6 A.3. Integrace několika ERD... 8 Literatura... 9 J. Zendulka: Databázové systémy

Více

Wi-Fi aplikace v důlním prostředí. Robert Sztabla

Wi-Fi aplikace v důlním prostředí. Robert Sztabla Robert Sztabla Robert Sztabla Program Páteřní síť Lokalizace objektů Hlasové přenosy Datové přenosy v reálném čase Bezpečnost Shrnutí Páteřní síť Wi-Fi aplikace v důlním prostředí Spolehlivé zasíťování

Více

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup

I N V E S T I C E D O R O Z V O J E V Z D Ě L Á V Á N Í. výstup ELEKTONIKA I N V E S T I C E D O O Z V O J E V Z D Ě L Á V Á N Í 1. Usměrňování a vyhlazování střídavého a. jednocestné usměrnění Do obvodu střídavého proudu sériově připojíme diodu. Prochází jí proud

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

A Metodologie návrhu ERD (Batini, Ceri, Navathe)

A Metodologie návrhu ERD (Batini, Ceri, Navathe) A Metodologie návrhu ERD (Batini, Ceri, Navathe) A.1. Strategie návrhu ERD... 2 A.2. Zdroje informací pro tvorbu ERD... 6 A.3. Integrace několika ERD... 8 Literatura... 9 J. Zendulka: Databázové systémy

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

Submikronové technologie součastné trendy SOI technologie. Vývoj CMOS technologií. Vývoj CMOS technologií. Proč chceme stále menší tranzistory?

Submikronové technologie součastné trendy SOI technologie. Vývoj CMOS technologií. Vývoj CMOS technologií. Proč chceme stále menší tranzistory? Sbohem mikroelektroniko Vítej nanoelektroniko Submikronové technologie součastné trendy SOI technologie SiGe Tranzistor pro 50nm proces Virus Tloušťka hradlového oxidu = 1.2 nm!!! Dnes již přecházíme na

Více

Den pro partnery Masarykova universita - Fakulta informatiky

Den pro partnery Masarykova universita - Fakulta informatiky Den pro partnery Masarykova universita - Fakulta informatiky 26.4.2012 2012-04 Základní informace hlavní sídlo: Phoenix, Arizona, USA celosvětově téměř 20 000 zaměstnanců jeden z předních světových výrobců:

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Den pro partnery Masarykova universita - Fakulta informatiky

Den pro partnery Masarykova universita - Fakulta informatiky Den pro partnery Masarykova universita - Fakulta informatiky 2011-04 Základní informace hlavní sídlo: Phoenix, Arizona, USA celosvětově téměř 20 000 zaměstnanců jeden z předních světových výrobců: analogových

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod Operační paměť

Více

1. Vývojový pracovník specialista na CAD SW pro návrh elektronických zařízení (Mentor Graphics, OrCad) (popis pozice viz příloha č.

1. Vývojový pracovník specialista na CAD SW pro návrh elektronických zařízení (Mentor Graphics, OrCad) (popis pozice viz příloha č. ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Technická 2, 166 27 Praha 6 V Praze, dne 1. 2. 2011 Laboratoře pro vývoj a realizaci při Fakultě elektrotechnické Českého vysokého učení technického

Více

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

Submikronové technologie součastné trendy SOI technologie. Vývoj CMOS technologií. Proč chceme stále menší tranzistory?

Submikronové technologie součastné trendy SOI technologie. Vývoj CMOS technologií. Proč chceme stále menší tranzistory? Sbohem mikroelektroniko Vítej nanoelektroniko Submikronové technologie součastné trendy SOI technologie SiGe Tranzistor pro 50nm proces Virus Tloušťka hradlového oxidu = 1.2 nm!!! Dnes již přecházíme na

Více

STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109. Miroslav Hůrka MECHATRONIKA

STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109. Miroslav Hůrka MECHATRONIKA STŘEDNÍ PRŮMYSLOVÁ ŠKOLA STROJNICKÁ A STŘEDNÍ ODBORNÁ ŠKOLA PROFESORA ŠVEJCARA, PLZEŇ, KLATOVSKÁ 109 Miroslav Hůrka MECHATRONIKA SOUBOR PŘÍPRAV PRO 3. R. OBORU 26-41-M/01 ELEKTRO- TECHNIKA - MECHATRONIKA

Více

Technologie číslicových obvodů

Technologie číslicových obvodů Technologie číslicových obvodů Technologie výroby IO pouzdření Vyšší montážní celky 30.1.2013 O. Novák, CIE 3 1 Diode logic DL: 30.1.2013 O. Novák, CIE 3 2 DL: nepoužívá se, nemožnost invertovat signál,

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Průmyslová řešení prověřená praxí Technická konference Hotel SKI, Nové Město na Moravě června 2019

Průmyslová řešení prověřená praxí Technická konference Hotel SKI, Nové Město na Moravě června 2019 Průmyslová řešení prověřená praxí Technická konference Hotel SKI, Nové Město na Moravě 3. 4. června 2019 Prezentující: Ota Poul Plynulá spolupráce mezi elektro CAD a konstrukčním CAD #SolidEdge2019 Návrh

Více

Lidský vlas na povrchu čipu Více než tranzistorů v 45nm technologii může být integrováno na plochu tečky za větou.

Lidský vlas na povrchu čipu Více než tranzistorů v 45nm technologii může být integrováno na plochu tečky za větou. Studijní materiály Technologie výroby integrovaných systémů www.micro.feld.cvut.cz/home/a2m34sis/prednasky Jak integrovat 1 000 000 000 Součástek na 1 cm 2 Jiří Jakovenko Struktury integrovaných systémů

Více

SW pro správu a řízení bezpečnosti

SW pro správu a řízení bezpečnosti Integrační bezpečnostní SW pro správu a řízení bezpečnosti Systém je vlastním produktem společnosti Integoo. Trvalý vývoj produktu reflektuje požadavky trhu a zákazníků. Ať už je velikost vaší organizace

Více

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C Výstup 24 Vss, negativní logika, 0,5 A, 2 body Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou-,

Více

5 Monolitické integrované obvody

5 Monolitické integrované obvody Technologie 5 Monolitické integrované obvody Jak je všeobecně známo, jsou využívány dvě hlavní technologie integrovaných obvodů. Jednou z nich jsou monolitické integrované obvody, druhou hybridní. Zde

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí.

Základní pojmy. Program: Algoritmus zapsaný v programovacím jazyce, který řeší nějaký konkrétní úkol. Jedná se o posloupnost instrukcí. Základní pojmy IT, číselné soustavy, logické funkce Základní pojmy Počítač: Stroj na zpracování informací Informace: 1. data, která se strojově zpracovávají 2. vše co nám nebo něčemu podává (popř. předává)

Více

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru jsou používány

Více

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Testování obvodů přístup k obvodům omezen porty / vývody In-Circuit Testery (Bed of Nails) Fine Pitch / MCM Multilayer Coating

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Referát (pokročilé architektury počítačů)

Referát (pokročilé architektury počítačů) Referát (pokročilé architektury počítačů) Představení architektury procesoru AMD K10 Roman Výtisk, VYT027 1 AMD K8 Nejprve bych zmínil, co této architektuře předcházelo a co tato architektura přinesla

Více

Řádkové snímače CCD. zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer

Řádkové snímače CCD. zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer Řádkové snímače CCD v. 2011 Materiál je určen pouze jako pomocný materiál pro studenty zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer Jan Fischer,

Více

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

zařízení 6. přednáška Fakulta elektrotechniky a informatiky prof.ing. Petr Chlebiš, CSc.

zařízení 6. přednáška Fakulta elektrotechniky a informatiky prof.ing. Petr Chlebiš, CSc. Konstrukce elektronických zařízení 6. přednáška prof.ing. Petr Chlebiš, CSc. Chyby při návrhu a realizaci el. zařízení Základní pravidla pro návrh v souladu s EMC - omezení vyzařování a zvýšení odolnosti

Více

Paměti operační paměti

Paměti operační paměti Paměti operační paměti Autor: Kulhánek Zdeněk Škola: Hotelová škola, Obchodní akademie a Střední průmyslová škola Teplice, Benešovo náměstí 1, příspěvková organizace Kód: VY_32_INOVACE_ICT_828 1.11.2012

Více

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou-, tří- a čtyřdrátové Provozní teplota -25 C až

Více

Měření teploty, tlaku a vlhkosti vzduchu s přenosem dat přes internet a zobrazování na WEB stránce

Měření teploty, tlaku a vlhkosti vzduchu s přenosem dat přes internet a zobrazování na WEB stránce ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Katedra mikroelektroniky Měření teploty, tlaku a vlhkosti vzduchu s přenosem dat přes internet a zobrazování na WEB stránce Zadání Stávající

Více

I. Současná analogová technika

I. Současná analogová technika IAS 2010/11 1 I. Současná analogová technika Analogové obvody v moderních komunikačních systémech. Vývoj informatických technologií v poslední dekádě minulého století digitalizace, zvýšení objemu přenášených

Více

Polovodičov. ové prvky. 4.přednáška

Polovodičov. ové prvky. 4.přednáška Polovodičov ové prvky 4.přednáška Polovodiče Základem polovodičových prvků je obvykle čtyřmocný (obsahuje 4 valenční elektrony) krystal křemíku (Si). Čisté krystaly křemíku mají za pokojové teploty jen

Více

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

Paměti Josef Horálek

Paměti Josef Horálek Paměti Josef Horálek Paměť = Paměť je pro počítač životní nutností = mikroprocesor z ní čte programy, kterými je řízen a také do ní ukládá výsledky své práce = Paměti v zásadě můžeme rozdělit na: = Primární

Více

TECHNOLOGICKÉ PROCESY PŘI VÝROBĚ POLOVODIČOVÝCH PRVKŮ I. APLIKACE LITOGRAFIE

TECHNOLOGICKÉ PROCESY PŘI VÝROBĚ POLOVODIČOVÝCH PRVKŮ I. APLIKACE LITOGRAFIE TECHNOLOGICKÉ PROCESY PŘI VÝROBĚ POLOVODIČOVÝCH PRVKŮ I. APLIKACE LITOGRAFIE Úvod Litografické technologie jsou požívány při výrobě integrovaných obvodů (IO). Výroba IO začíná definováním jeho funkce a

Více

Algoritmická syntéza. Rychlý návrh DSP systémů. Ing. Jakub Št astný, Ph.D.

Algoritmická syntéza. Rychlý návrh DSP systémů. Ing. Jakub Št astný, Ph.D. Algoritmická syntéza Rychlý návrh DSP systémů Ing. Jakub Št astný, Ph.D. http://amber.feld.cvut.cz/fpga/prednasky/hll_synteza/hlls.html Osnova přednášky 1 Motivace Vývoj polovodičů Konverze DSP algoritmu

Více

1. Kdy a kým byl vynalezen integrovaný obvod? 1958 Jack Kilby (Texas Instruments)

1. Kdy a kým byl vynalezen integrovaný obvod? 1958 Jack Kilby (Texas Instruments) 1. Kdy a kým byl vynalezen integrovaný obvod? 1958 Jack Kilby (Texas Instruments) 2. Jaké jsou dnešní nejmodernější technologie integrovaných obvod ů? Napište hlavní vlastnosti a charakteristiky. Vysoká

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 18-20-M/01 informační technologie Předmět: programování 1. Příkazy jazyka C# 2. Datové konstrukce 3. Objektově orientované programování 4. Tvorba vlastních funkcí Obor vzdělání: 18-20-M/01

Více

Mikrokontroléry. Doplňující text pro POS K. D. 2001

Mikrokontroléry. Doplňující text pro POS K. D. 2001 Mikrokontroléry Doplňující text pro POS K. D. 2001 Úvod Mikrokontroléry, jinak též označované jako jednočipové mikropočítače, obsahují v jediném pouzdře všechny podstatné části mikropočítače: Řadič a aritmetickou

Více

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ

Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Název veřejné zakázky: Systémy pro měření, diagnostiku a testování prototypů II. Odůvodnění vymezení technických podmínek podle 156 odst. 1 písm. c) ZVZ Technická podmínka: Odůvodnění Zaškolení obsluhy:

Více

FAKULTA STROJNÍHO INŽENÝRSTVÍ, VUT BRNO NETME Centre

FAKULTA STROJNÍHO INŽENÝRSTVÍ, VUT BRNO NETME Centre Quality control Robotic machining Rapid prototyping 3D optical digitalization Additive manufacturing of metal parts Mechanical and industrial design Obsah prezentace Představení pracoviště Laboratoře Vývoj

Více

TGZ. 2-osé digitální servozesilovače

TGZ. 2-osé digitální servozesilovače TGZ 2-osé digitální servozesilovače Digitální servozesilovače TGZ TGZ představuje nový koncept měničů pro více-osé aplikace. TGZ v sobě zahrnuje moderní prvky digitálního řízení, jednoduché přednastavené

Více

ELEKTRONICKÉ SOUČÁSTKY

ELEKTRONICKÉ SOUČÁSTKY ELEKTRONICKÉ SOUČÁSTKY POUZDŘENÍ ČIP POUZDRO ZÁKLADNA umožňuje připojení OCHRANNÝ KRYT ne vždy POUZDRO ZÁKLADNÍ FUNKCE rozvod napájení rozvod signálu odvod tepla zajištění mechanické pevnosti zajištění

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE

MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE MODERNÍ TRENDY V PROGRAMOVATELNÉ LOGICE, APLIKACE V AUTOMATIZAČNÍ A MĚŘICÍ TECHNICE Soběslav Valach UAMT FEEC VUT Brno, Kolejní 2906/4, 612 00 Brno, valach@feec.vutbr.cz Abstract: Článek popisuje základní

Více

Diplomové a ročníkové práce ve spolupráci se společností ON Semiconductor v Rožnově pod Radhoštěm

Diplomové a ročníkové práce ve spolupráci se společností ON Semiconductor v Rožnově pod Radhoštěm Diplomové a ročníkové práce ve spolupráci se společností ON Semiconductor v Rožnově pod Radhoštěm ON Semiconductor je jedním z předních světových výrobců integrovaných obvodů a diskrétních polovodičových

Více

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o.

Zpracování obrazu v FPGA. Leoš Maršálek ATEsystem s.r.o. Zpracování obrazu v FPGA Leoš Maršálek ATEsystem s.r.o. Základní pojmy PROCESOROVÉ ČIPY Křemíkový čip zpracovávající obecné instrukce Různé architektury, pracují s různými paměti Výkon instrukcí je závislý

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod

Více

Ústav technologie, mechanizace a řízení staveb. Teorie měření a regulace. snímače foto. p. 2q. ZS 2015/2016. 2015 - Ing. Václav Rada, CSc.

Ústav technologie, mechanizace a řízení staveb. Teorie měření a regulace. snímače foto. p. 2q. ZS 2015/2016. 2015 - Ing. Václav Rada, CSc. Ústav technologie, mechanizace a řízení staveb Teorie měření a regulace snímače foto p. 2q. ZS 2015/2016 2015 - Ing. Václav Rada, CSc. Obrazová analýza je proces velice starý vyplývající automaticky z

Více

Vývoj informačních systémů. Obecně o IS

Vývoj informačních systémů. Obecně o IS Vývoj informačních systémů Obecně o IS Informační systém Informační systém je propojení informačních technologií a lidských aktivit směřující k zajištění podpory procesů v organizaci. V širším slova smyslu

Více

Přenos signálů, výstupy snímačů

Přenos signálů, výstupy snímačů Přenos signálů, výstupy snímačů Topologie zařízení, typy průmyslových sběrnic, výstupní signály snímačů Přenosy signálů informací Topologie Dle rozmístění ŘS Distribuované řízení Většinou velká zařízení

Více

G R A F I C K É K A R T Y

G R A F I C K É K A R T Y G R A F I C K É K A R T Y Grafická karta nebo také videoadaptér je součást počítače, která se stará o grafický výstup na monitor, TV obrazovku či jinou zobrazovací jednotku. Režimy grafických karet TEXTOVÝ

Více

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Literatura W.Stallings: Computer Organization & Architecture J.L.Hennessy, P.A.Patterson: Patterson: Computer Architecture: a Quantitative Approach

Více

Paměť počítače. 0 (neprochází proud) 1 (prochází proud)

Paměť počítače. 0 (neprochází proud) 1 (prochází proud) Paměť počítače Paměť je nezbytnou součástí jakéhokoli počítače. Slouží k uložení základních informací počítače, operačního systému, aplikačních programů a dat uživatele. Počítače jsou vybudovány z bistabilních

Více

Open-Source nástroje pro práci s FPGA

Open-Source nástroje pro práci s FPGA 6. Listopad, 2016 Marek Vasut Software engineer at DENX S.E. since 2011 Embedded and Real-Time Systems Services, Linux kernel and driver development, U-Boot development, consulting, training Versatile

Více

Paměti Flash. Paměti Flash. Základní charakteristiky

Paměti Flash. Paměti Flash. Základní charakteristiky Paměti Flash K.D. - přednášky 1 Základní charakteristiky (Flash EEPROM): Přepis dat bez mazání: ne. Mazání: po blocích nebo celý čip. Zápis: po slovech nebo po blocích. Typická životnost: 100 000 1 000

Více

Historie výpočetní techniky. Autor: Ing. Jan Nožička SOŠ a SOU Česká Lípa VY_32_INOVACE_1121_Histrorie výpočetní techniky_pwp

Historie výpočetní techniky. Autor: Ing. Jan Nožička SOŠ a SOU Česká Lípa VY_32_INOVACE_1121_Histrorie výpočetní techniky_pwp Historie výpočetní techniky Autor: Ing. Jan Nožička SOŠ a SOU Česká Lípa VY_32_INOVACE_1121_Histrorie výpočetní techniky_pwp Název školy: Číslo a název projektu: Číslo a název šablony klíčové aktivity:

Více