Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod

Rozměr: px
Začít zobrazení ze stránky:

Download "Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod"

Transkript

1 Jak navrhnout systém se 700 mil. Tranzistorů? Digitální Časová analýza Návrh topologie Dělení u na subsystémy Návrh je rozdělen na jednotlivé bloky a ty na další sub-bloky Použití již existujících ů Rychlejší než začít stavět na zelené louce Použití tzv. IP bloků pro standardní části u IP Intelectual Property Bloky, které jsou standardizovány a dají se koupit od třetích tí firem Použití HDL IP Bloků Použít y na nejvyšší úrovni, pokud je to možné Automatizovaná syntéza z programovacích jazyků (System C, C ) Jak otestovat systém se 700 mil. Tranzistorů? Dělení u na subsystémy, které jsou testovány samostatně Návrh je rozdělen na jednotlivé bloky a ty na další sub-bloky Nejdříve se testují bloky samostatně, potom dohromady Použití simulací na nejvyšší úrovni, pokud je to možné Behaviorální modelování a simulace Je mnohem rychlejší, ale méně ě přesnéř V určitém stádiu u je nutné modelovat naopak na nejnižších úrovních abstrakce Velice náročné na strojový čas, obzvláště u rozsáhlých ů Postup u digitálních IO Návrh pomocí standardních buněk Logický Umístění a Vytvoření funkčních specifikací a verifikačního plánu Systémové modelování (System C, C) Rozdělení na funkční bloky (Register Transfer Logic) popis a verifikace (HDL) na systémové úrovni Pokročilé verifikační metody, Low power verigikace Co to jsou HDL jazyky? HDL Hardware Description Language Číslicový, analogový a číslicově-analogový jazyky pro popis chování elektronického obvodu K čemu je to dobré? Rychlý popis funkce obvodu (nebo jeho části) Krátká doba simulace v případě číslicových obvodů Hodí se i pro tvorbu testovacích simulačních obvodů a behaviorálnívh a systémových modelů Světové standardy: VHDL, VHDL-A, VHDL-AMS Verilog, Verilog-A, Verilog-AMS Příklad Verilog kódu pro D klopný obvod module D_flip_flop_sync_reset ( data, // Data Input clk, // Clock Input reset, // Reset input q // Q output ); //---Vstupní Porty input data, clk, reset ; //---Výstupní Porty output t q; //---Vnitřní proměnné reg q; //---Začátek popisu bloku ( posedge clk) if (~reset) begin q <= 1'b0; end else begin q <= data; end endmodule

2 Postup u digitálních IO Návrh pomocí standardních buněk Postup u digitálních IO Návrh pomocí standardních buněk Logický Umístění a Logický Umístění a Načtení odladěného a verifikovaného HDL (VHDL nebo Verilog) kódu Převedení zdrojového HDL kódu na netlist (zapojení systému) Netlist je na úrovni logických hradel (NAND, NOR, XOR, registrů ) Optimalizace u časování, plocha, spotřeba Fyzická syntéza předběžné umístění bloků a hradel Optimalizace kritických datových cest Návrh rozdělení plochy čipu (Floorplanning) umístění makrobuněk, napájení a hodin Rozmístění buněk (hradel) optimalizace časování Rozvedení hodinového signálu (CTS Clock Tree Synthesys) Propojení všech buněk a hradel Optimalizace hodinového signálu, metalického a kontaktů Někdy je potřeba ruční úprava výsledné topologie (layoutu) Postup u digitálních IO na různých úrovních abstrakce Návrh pomocí standardních buněk Logický Umístění a Behavioral HDL Systémový Simulátor HDL Simulátor Kontrola kódů DRC Kontrola ových pravidel LVS Layout vs schema kontrola zapojení ERC Electric Rules Check Analýza metalického (proudová hustota, úbytek napětí) Dynamická časová analýza Analýza spotřeby Pro vybrané bloky simulace na úrovni tranzistorů pro nízkou spotřebu Gate-level Physical Domain Gate-level l Simulátor Statická časová analýza DRC, Layout vs Schematic (LVS), ERC Verifikační techniky Cíl: Zajistit, aby splňoval požadavky při všech úrovních u Simulace (funkční a časová) Behavioral Gate-level (pre-layout a post-layout) Switch-level Transistor-level Formální verifikace (funkční) Statická časová analýza STA (časová) Založeny na HDL Klasifikace logických simulátorů Logické simulátory Emulátory Schematic-based FPGA Řízeny Řízeny Hradla Systém událostí Hodinovým cyklem HDL: Návrh a testbenche jsou popsány pomocí HDL Řízeny událostí Řízeny časovým cyklem - hodinami Schematic: Návrh je vložen graficky pomocí editoru schematu Emulátory: Návrh je mapován do FPGA jako simulace prototypu.

3 Statická časová analýza STA Vhodná pro synchronní Popis Systému (Simulace) Základní kroky digitálního u??? STA Static time analysis STA Register transfer Logic Latche Kombinační Logic Kontroluje časování bez tzv. testvektorů Latches Konzervativní způsob v porovnání s dynamickou časovou analýzou. Formalní Návrh umístění A (Floorplan) STA Detailní Layout STA Finální layout GDSII VHDL Verilog funkční popis Funkční popis buněk technologicky nezávislých Časování (Timing) CLK I/O vyjímky Návrhová pravidla pož. Operační podmínky Napětí Teplota Technologie Funkční bloky Funkce Časování WLM (wire load model) Návrhová pravidla Technologie Tradiční Back-end Flow - Synthéza GTECH Constraints Tech library Logická Analyze Elaborate Compile Gate-level netlist Transformace dat do gate-level netlistu Kontrola syntaxe Kontrola struktury Konverze do binárního kódu Mapování u do GTECH bloků (modelů) Namapuje do technologických blolů Logická optimalizace Časování - výpočet DRC Verilog/VHDL Propojení Základních Technologických bloků WLM princip 100 K 20 K Logical view 80 K Výpočet zpoždění WLM (wire load model) Zpoždění Všechny uzly v rámci funkčního bloku mají stejné zpoždění Velikost bloku After P&R Rozvětvení %delay gates 1m 0.5m 0.15m wires WLMs se stává statisticky nepřesné v submikronových technologiích Výpočet zpoždění Základní princip Fyzická syntéza Logické schema Spojuje syntézu a layout Nepoužívá WLM Vypočítá zpoždění z délky spoje Po fyzickém umístění bloku Výpočet zpoždění Po P&R Timing accuracy %error WLM Logical Synthesis Physical Synthesis Interconnect model Detail Detail routing Fyzický Zpoždění každého uzlu je počítáno odděleně Časování po fyzickém umístění je velice přesné

4 Fyzický čipu Postup fyzického u Import u a technologií Verilog netlist Fyzický Plánování rozmístění (Floorplanning) Umístění (Placement) Layout Rozvod hodin (Clock Tree Synthesis) Technologické požadavky Návrh (Routing) Optimalizace (Post Route Optimization) layoutu Front End Back End Metoda fyzické syntézy Fyzická informace o funkčních blocích Tradiční DC kompilace Rozměry Rozložení pinů Propojovací přepážky Tech knihovna Omezující podnínky Knihovna standardních buňek Gate-level netlist Fyzická optimalizace rozmístění Návrh topologie Počáteční Návrh topologie velikost čipu I/O piny makrobuňky rozvedení napájení Umístění bloků Není zatím propojeno! Plánování rozložení čipu (Floorplanning) Extrahuje reálná zpoždění po u layoutu Pro novou optimalizaci Detailní DRC, LVS layout Detailní Začíná existujícím umístěním bloků Plánování rozložení čipu (Floorplanning) Kde začít? Hlavní oblasti pro implementaci Umístění IP bloků, I/O oblastí, kontaktních pedů Napájení Zem Prstence Pruhy Periferní (I/O) oblasti IP RAM ROM Verilog netlist Technologické požadavky Fyzický Jak se vypořádáme s: Velikostí čipu Umístěním IO / IP Rozvodem hodinového signálu Rozvodem napájení a země

5 Proč plánovat rozložení čipu? Cílem je umístit jednotlivé bloky a standardní buňky tak, aby propojovací nástroj rychle konvergoval. Krátké kritické cesty (časování) Přetečení limitu průchodnosti Rozvod adekvátního napájení a země Plánování rozložení čipu zahrnuje: Velikost plochy čipu IO / umístění velikých bloků -maker Globální rozvod hodinového signálu Globální rozvod napájení Návrh využití plochy čipu Využití plochy se uvádí v procentech zaplnění plochy čipu buňkami. Typicky se začíná s využitím plochy kolem 70% Pro vysoké hodnoty využití plochy je obtížné dokončit Problémy s m Malé využití plochy Dobré využití plochy nesnadné Umístění velkých makro-buněk Při umístění velkých makro-buněk musíme brát v úvahu vliv na možnosti. Nedostatek místa pro okolo rohů Příliš úzké propojovací kanály Pravděpodobné místa, kde vznikne problém s m Návrh globálního rozvodu napájení a země na čipu Aspekty u napájení s ohledem na spolehlivost Optimalizace napájení na čipu Dynamický výkon čipu Úbytek napětí na metalizaci snížení spotřeby hodinového sig. Zapínání a vypínání hodinového signálu Din Různá prahová napětí snížení statického odběru Svodový proud Nízké V TH 40 x vyšší svodový proud Statický odběr (Svodové proudy) Minimální Limit Enable Clock Latch Dout Nominální V TH VysokéV TH Umístění (Floorplan) + Návrh rastru napájení Dlouhodobý problém proudové hustoty Elektromigrace (EM) Pokročilé techniky 0.9V Redukce dynamických ztrát OFF 0.7V 0.9V 0.9V Zpoždění Snížení dynamických ztrát a svodového proudu 0.7V 0.9V Multi-napěťové (MV) Multi-napěťové bloky s vypínáním napájení k jednotlivým blokům

6 Návrh rastru pro napájení Konverze napájení & Multi-napěťový Tradiční postup: Rozdělení multi-napěťových oblastí Návrh obvodových prstenců okolo celého jádra čipu Návrh příčných pruhů a lokálních prstenců odhad počtu Prvotní odhad připojení p napájení a země k log. buňkám Kontrola a verifikace propojitelnosti napájení Analýza úbytku napětí (IR drop) a elektromigrace Definování napěťových domén (ND) Vytvoření seznamu buněk připojených na jednotlivá napětí 1, 2, GND1, Návrh oblastí ND Umístění makro buněk Nutno optimalizovat pro hustotu Umístění konvertovacích bloků napětí IP core ND3 RAM ND1 ND2 ROM Multi napěťový : Bloky pro konverzi napěťových úrovní - Level Shifters Převod napěťových úrovní : prstencová topologie konverzních členů - Level Shifter - Bloky pro konverzi napěťových úrovní 1 2 IN OUT Global logický model VSS Duální H-L a L-H konverzní bloky VVD-ND1 doména VVD-ND2 doména VSS IN 1 OUT Konverzní členy jsou umístěny okolo každé VVD-ND (virtual ) napěťové domény 2 VSS Převod napěťových úrovní : rastrová topologie konverzních členů Převod napěťových úrovní: konverzní člen Global V1 V1 V2 V2 Řídící vypínací signál (on/off) Topologie umístění: Prstencový okolo jednotlivé napěťové oblasti Rastrová mřížka pro bloky uvnitř jednotlivých ND V1 V2 síť je rastrová Konverzní členy jsou umístěny do rastru mezi a V Výhodu tohoto uspořádání je menší proud při zapnutí bloku (široké vodiče a úzké V) V VSS V Rozvedení řídicího vypínacího signálu: Rozvedení se provádí v první fázi detailního propojování

7 Zhodnocení rozvržení plochy čipu (Floorplanning) Cílem je umístit jednotlivé bloky a standardní buňky tak, aby propojovací nástroj rychle konvergoval. Potřebné ářské zkušennosti Plánování rozložení čipu je řízeno: Velikostí a tvarem plochy čipu Rozvodem hodinového signálu a časováním Globálním rozvod napájení Zaplněním plochy Neexistuje jen jedna cesta, jak navrhnout rozvržení čipu Některé požadavky jsou protichůdné Tato fáze často vyžaduje značnou časovou invenci další procedury u zásadně závisí na tomto kroku. Detailní rozmístění buněk (Placement) Detailní rozmístění bloků (Placement) Detailní rozmístění bloků (Placement) Je jednou z kritických fází ovlivňující především: časování možnost následného V které části u se nacházíme? Návrhová specifikace Logický a verifikace Front-End Technologické knihovny Netlist Návrhová pravidla Logická syntéza Floorplanning Návrh rozmístění Návrh Fyzický Back-End Kalkulace pro rozmístění Rozmístění bloků- kroky Kalkulační kriteria Plocha Délka spojů Překryv Časování Hustota Hodinový signál Spotřeba Návrhové metody Tradiční metody rozmístění Rozmístění řízené časováním Rozmístění řízené výpočtem hustoty Vypínání hodinového signálu Multi-napěťové domény Vstupní informace: Netlist Namapovaný Floorplan Logické a technologické knihovny Topologická ová pravidla Načtení netlistu ze syntézy Komplexní rozmístění bloků Detailní rozmístění Optimalizace rozmístění Výstupní informace: Fyzická topologie (layout) Přesné pozice jednotlivých buněk Layout, časování, technologické informace a referenční knihovny

8 Knihovny standardních buněk Rozmístěmí bloků Hierarchie Standardní buňky jsou předen navržené layouty specifických logických hradel. Každá buňka má stejnou výšku. Knihovna je většinou dodávána výrobcem IO Knihovna Standardních buněk Hierarchicky členěný Netlist Top A B Layout bez hierarchie! A2 Top C1 C3 RAM A4 A3 C2 A1 A B Y Metal Pins A B Y A1 A2 A3 A4 C RAM C1 C2 C3 Netlist - bez hierarchie Top GND NAND_1 GND Detailní Layout = základní buňka (std nebo makro) A1 A2 A3 A4 C1 C2 C3 RAM Komplexní a detailní rozmístění Rozmístění bloků Načtení netlistu Standardní buňky jsou rozmístěny do skupin tak, aby počet mezi jednotlivými skupinami byl minimální. Toto se řeší pomocí rozdělení u na jednotlivé bloky. Komplexní rozmístění í Detailní rozmístění Optimalizace rozmístění Špatné rozmístění Dobré rozmístění Detailní rozmístění: nejdříve Nahrubo Detailní rozmístění: Placement : Legalization Legalizace Hrubé umístění buněk Buňky jsou umístěny přibližně na svá místa, ale nezaujímají přesnou polohu a překrývají se. Legalizace: přesné umístění jednotlivých bloků po optimalizačních algoritmech Makrobuňky jsou již rozmístěny Optimalizace umístění z hlediska budoucího, výpočet hustoty

9 Rozmístění zakázané oblasti Rozmístění bloků zakázané oblasti Okolo některých buněk, jako jsou velká makra, jsou definované zakázané oblasti pro umístění dalších buněk Zakázané oblasti RAM5 Piny makra jsou napravo a nalevo RAM1 Zakázané oblasti mohou být okolo všech stran makra. Zajišťují propojitelnost propojitelnost. Oblasti s omezením. Mohou se zde rozmisťovat např. buňky s přímou vazbou na makro RAM3 RAM5 RAM4 Rozmístění bloků zakázané oblasti RAM2 Rozmístění bloků zásady u Okolo rohů maker vzniká veliká hustota. Do těchto oblastí je zakázáno umísťovat buňky. Zakázané rozmístění RAM 1 RAM 2 RAM 3 RAM 4 RAM 5 RAM 6 (75,95) Zakázané propojování Mnoho pinů ústí do velice úzkého kanálu RAM4 Zužující kanály potenciální iál í problém při routování RAM 7 RAM 8 Piny na správné straně (20,20) Rozmístění standardních buněk Rozmístění standardních buněk Možnost sledování jednotlivých propojovacích cest Příklad rozmístění

Rozmístění bloků. Digitální návrh II. Detailní rozmístění: nejdříve Nahrubo. Rozmístění bloků zakázané oblasti. Rozmístění zakázané oblasti

Rozmístění bloků. Digitální návrh II. Detailní rozmístění: nejdříve Nahrubo. Rozmístění bloků zakázané oblasti. Rozmístění zakázané oblasti Rozmístění bloků Standardní buňky jsou rozmístěny do skupin tak, aby počet propojení mezi jednotlivými skupinami byl minimální. Toto se řeší pomocí rozdělení návrhu na jednotlivé bloky. Digitální návrh

Více

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Open-Source nástroje pro práci s FPGA

Open-Source nástroje pro práci s FPGA 6. Listopad, 2016 Marek Vasut Software engineer at DENX S.E. since 2011 Embedded and Real-Time Systems Services, Linux kernel and driver development, U-Boot development, consulting, training Versatile

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

SYSTÉMY NAČIPU MI-SOC

SYSTÉMY NAČIPU MI-SOC Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti SYSTÉMY NAČIPU MI-SOC doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana Kubátová

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 8 SÍTĚ NAČIPU (NOC) doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana

Více

9. Praktická verifikace

9. Praktická verifikace Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt 9. Praktická verifikace EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI Pravidla, postupy Testovací prostředí

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Fyzický návrh - postup. Finalizace čipu. Ochranný prstenec (Sealring) Konečné úpravy čipu Finální verifikace. Konečné úpravy layoutu: Logo

Fyzický návrh - postup. Finalizace čipu. Ochranný prstenec (Sealring) Konečné úpravy čipu Finální verifikace. Konečné úpravy layoutu: Logo Fyzický návrh - postup Postup fyzického návrhu čipu Importování návrhu (Netlist, knihovny, ) Návrh plochy čipu (Floorplanning) Rozmístění (Placement) Návrh rozvodu hodinového signálu (Clock Tree Synthesis)

Více

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI 7. Pracovní postupy Posloupnosti analytických a syntetických

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ MEII KOMBINAČNÍ LOGICKÉ OBVODY Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEII - 5.4.1 KOMBINAČNÍ LOGICKÉ OBVODY Obor: Mechanik elektronik Ročník: 2. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) imní semestr 2/2 Jiří Douša, katedra číslicového návrhu (K83), České vysoké učení technické v Prae,

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 11 METODY VERIFIKACE SYSTÉMŮ NA ČIPU Hana Kubátov vá doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta 1 informačních

Více

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Metodika návrhu analogově digitálních integrovaných obvodů

Metodika návrhu analogově digitálních integrovaných obvodů > Metodika návrhu analogově digitálních integrovaných obvodů Studijní materiál k předmětu A4M34SIS ČVUT FEL katedra mikroelektroniky Abstrakt: - Článek obsahuje stručný náhled na problematiku návrhu integrovaných

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost

GFK-1904-CZ Duben Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Provozní vlhkost. Skladovací vlhkost Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C

GFK-2005-CZ Prosinec Rozměry pouzdra (šířka x výška x hloubka) Připojení. Provozní teplota -25 C až +55 C. Skladovací teplota -25 C až +85 C Výstup 24 Vss, negativní logika, 0,5 A, 2 body Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 12,2 mm x 120 mm x 71,5 mm dvou-,

Více

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

Paměti Flash. Paměti Flash. Základní charakteristiky

Paměti Flash. Paměti Flash. Základní charakteristiky Paměti Flash K.D. - přednášky 1 Základní charakteristiky (Flash EEPROM): Přepis dat bez mazání: ne. Mazání: po blocích nebo celý čip. Zápis: po slovech nebo po blocích. Typická životnost: 100 000 1 000

Více

Pohled do nitra mikroprocesoru Josef Horálek

Pohled do nitra mikroprocesoru Josef Horálek Pohled do nitra mikroprocesoru Josef Horálek Z čeho vycházíme = Vycházíme z Von Neumannovy architektury = Celý počítač se tak skládá z pěti koncepčních bloků: = Operační paměť = Programový řadič = Aritmeticko-logická

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Metody návrhu systémů na bázi FPGA

Metody návrhu systémů na bázi FPGA Metody návrhu systémů na bázi FPGA Úvod Ve třetím dílu série článků o programovatelných logických obvodech bude nastíněna metodika návrhu systémů realizovaných právě pomocí FPGA. Současně budou zmíněny

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24 Logcký sekvenční obvod Logcký

Více

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-2004-CZ Listopad Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou-, tří- a čtyřdrátové Provozní teplota -25 C až

Více

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor

MĚŘENÍ Laboratorní cvičení z měření Měření parametrů logického obvodu část Teoretický rozbor MĚŘENÍ Laboratorní cvičení z měření část 3-6-1 Teoretický rozbor Výukový materiál Číslo projektu: CZ.1.07/1.5.00/34.0093 Šablona: III/2 Inovace a zkvalitnění výuky prostřednictvím ICT Sada: 1 Číslo materiálu:

Více

I/O modul VersaPoint. Analogový výstupní modul, 16 bitový, napětí, 1 kanál IC220ALG321. Specifikace modulu. Spotřeba. Vlastnosti. Údaje pro objednávku

I/O modul VersaPoint. Analogový výstupní modul, 16 bitový, napětí, 1 kanál IC220ALG321. Specifikace modulu. Spotřeba. Vlastnosti. Údaje pro objednávku Analogový výstupní modul, 16 bitový, napětí, 1 kanál Modul slouží pro výstup analogových napěťových signálů. Tyto signály jsou k dispozici v 16 bitovém rozlišení. Specifikace modulu Rozměry pouzdra (šířka

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

Testování sekvenčních obvodů Scan návrh

Testování sekvenčních obvodů Scan návrh Testování sekvenčních obvodů Scan návrh Testování a spolehlivost ZS 2011/2012, 6. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 9 SYSTÉMOVÝ NÁVRH, IP-CORES doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní pojmy digitální techniky Abstrakce v digitální technice: signály se pokládají za skokově proměnné, v nejjednodušším případě dvě možné hodnoty logická

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Testování obvodů přístup k obvodům omezen porty / vývody In-Circuit Testery (Bed of Nails) Fine Pitch / MCM Multilayer Coating

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA

NSWI /2011 ZS. Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Principy cpypočítačůčů aoperačních systémů ARCHITEKTURA Literatura W.Stallings: Computer Organization & Architecture J.L.Hennessy, P.A.Patterson: Patterson: Computer Architecture: a Quantitative Approach

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

I/O modul VersaPoint. Analogový výstupní modul, 16 bitový, napětí/proud, 1 kanál IC220ALG320. Specifikace modulu. Spotřeba. Údaje pro objednávku

I/O modul VersaPoint. Analogový výstupní modul, 16 bitový, napětí/proud, 1 kanál IC220ALG320. Specifikace modulu. Spotřeba. Údaje pro objednávku Analogový výstupní modul, 16 bitový, napětí/proud, 1 kanál Modul slouží pro výstup analogových napěťových nebo proudových signálů. Tyto signály jsou k dispozici v 16 bitovém rozlišení. Specifikace modulu

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

1 Smíšené digitálně-analogové simulace

1 Smíšené digitálně-analogové simulace 1 Smíšené digitálně-analogové simulace Cílem cvičení je osvojení práce s analogově-digitálními obvody a komplexní realizací modelu součástky na základě blokového schématu. Cíle cvičení Integrující AD převodník

Více

Simulace číslicových obvodů na hradlové úrovni: model návrhu Jakub Šťastný ASICentrum, s.r.o. Katedra teorie obvodů FEL ČVUT Praha

Simulace číslicových obvodů na hradlové úrovni: model návrhu Jakub Šťastný ASICentrum, s.r.o. Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Simulace číslicových obvodů na hradlové úrovni: model návrhu, DPS Elektronika od A do Z, pp. 6-12, leden/únor

Více

Profilová část maturitní zkoušky 2017/2018

Profilová část maturitní zkoušky 2017/2018 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2017/2018 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 78-42-M/01 Technické lyceum Předmět: TECHNIKA

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Profilová část maturitní zkoušky 2013/2014

Profilová část maturitní zkoušky 2013/2014 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2013/2014 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 78-42-M/01 Technické lyceum Předmět: TECHNIKA

Více

Boundary scan Testování SoC a NoC

Boundary scan Testování SoC a NoC Boundary scan Testování SoC a NoC Testování a spolehlivost ZS 2011/2012, 7. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální fond

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

Programování LEGO MINDSTORMS s použitím nástroje MATLAB a Simulink

Programování LEGO MINDSTORMS s použitím nástroje MATLAB a Simulink 26.1.2018 Praha Programování LEGO MINDSTORMS s použitím nástroje MATLAB a Simulink Jaroslav Jirkovský jirkovsky@humusoft.cz www.humusoft.cz info@humusoft.cz www.mathworks.com Co je MATLAB a Simulink 2

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 7 ČASOVÁNÍ A SYNCHRONIZACE TECHNICKÉHO VYBAVENÍ doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Analýza a Návrh. Analýza

Analýza a Návrh. Analýza Analysis & Design Návrh nebo Design? Design = návrh Není vytváření použitelného uživatelského prostředí (pouze malinká podmnožina celého návrhu) Často takto omezeně chápáno studenty nedokáží si představit,

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

FPGA + mikroprocesorové jádro:

FPGA + mikroprocesorové jádro: Úvod: V tomto dokumentu je stručný popis programovatelných obvodů od firmy ALTERA www.altera.com, které umožňují realizovat číslicové systémy s procesorem v jenom programovatelném integrovaném obvodu (SOPC

Více

Dvoustupňový Operační Zesilovač

Dvoustupňový Operační Zesilovač Dvoustupňový Operační Zesilovač Blokové schéma: Kompenzační obvody Diferenční stupeň Zesilovací stupeň Výstupní Buffer Proudové reference Neinvertující napěťový zesilovač Invertující napěťový zesilovač

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

TEAM DESIGN ABB CHALLENGE. EBEC Brno 2012 5. 8. března 2012 www.ebec.cz

TEAM DESIGN ABB CHALLENGE. EBEC Brno 2012 5. 8. března 2012 www.ebec.cz ABB CHALLENGE Automatický záskok napájení Úvod Zadání se věnuje problematice automatického záskoku napájení, které se používá v systémech se dvěma izolovanými napájecími vedeními, připojenými ke dvěma

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Moderní nástroje pro vývoj elektronických řídicích jednotek

Moderní nástroje pro vývoj elektronických řídicích jednotek Moderní nástroje pro vývoj elektronických řídicích jednotek Jiří Sehnal Humusoft spol. s r.o. sehnal@humusoft.com EVV 2008 Automobilová elektronika Brno, 17. - 18. 6. 2008 Jiří Sehnal, Humusoft spol. s

Více

Modelování a simulace elektronických systémů

Modelování a simulace elektronických systémů Modelování a simulace elektronických systémů Elektronické systémy Řídicí obvody, obvody pro úpravu signálu, polovodičové měniče, elektromotory Modelování a simulace Obvodových veličin OrCAD/PSPICE Chování

Více

Profilová část maturitní zkoušky 2014/2015

Profilová část maturitní zkoušky 2014/2015 Střední průmyslová škola, Přerov, Havlíčkova 2 751 52 Přerov Profilová část maturitní zkoušky 2014/2015 TEMATICKÉ OKRUHY A HODNOTÍCÍ KRITÉRIA Studijní obor: 26-41-M/01 Elektrotechnika Zaměření: technika

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor: 18-20-M/01 Informační technologie Předmět: Databázové systémy Forma: praktická 1. Datový model. 2. Dotazovací jazyk SQL. 3. Aplikační logika v PL/SQL. 4. Webová aplikace. Obor vzdělání: 18-20-M/01

Více

10. Techniky formální verifikace a validace

10. Techniky formální verifikace a validace Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI 10. Techniky formální verifikace a validace 1 Simulace není

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

PROGRAMOVATELNÁ LOGICKÁ POLE

PROGRAMOVATELNÁ LOGICKÁ POLE PROGRAMOVATELNÁ LOGICKÁ POLE Programovatelné součástky a zejména hradlová pole jsou velmi důležité prvky dnešní elektroniky. Díky nim si každý může vyrobit vlastní zákaznický integrovaný obvod šitý přesně

Více

Kritéria hodnocení praktické maturitní zkoušky z databázových systémů

Kritéria hodnocení praktické maturitní zkoušky z databázových systémů Kritéria hodnocení praktické maturitní zkoušky z databázových systémů Otázka č. 1 Datový model 1. Správně navržený ERD model dle zadání max. 40 bodů teoretické znalosti konceptuálního modelování správné

Více

Základy logického řízení

Základy logického řízení Základy logického řízení 11/2007 Ing. Jan Vaňuš, doc.ing.václav Vrána,CSc. Úvod Řízení = cílené působení řídicího systému na řízený objekt je členěno na automatické a ruční. Automatickéřízení je děleno

Více

Virtuální ověřování výroby Robotika Process Simulate Virtual Commissioning Virtuelle Inbetriebnahme

Virtuální ověřování výroby Robotika Process Simulate Virtual Commissioning Virtuelle Inbetriebnahme Virtuální ověřování výroby Robotika Process Simulate Virtual Commissioning Virtuelle Inbetriebnahme Martin Baumruk Jiří Kopenec Siemens PLM Connection 2012 Česká republika 3. 5. června, Seč Dněšní workflow

Více

Semestrální práce z předmětu Speciální číslicové systémy X31SCS

Semestrální práce z předmětu Speciální číslicové systémy X31SCS Semestrální práce z předmětu Speciální číslicové systémy X31SCS Katedra obvodů DSP16411 ZPRACOVAL: Roman Holubec Školní rok: 2006/2007 Úvod DSP16411 patří do rodiny DSP16411 rozšiřuje DSP16410 o vyšší

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

Vývoj a testování elektronických řídicích jednotek pro automobily

Vývoj a testování elektronických řídicích jednotek pro automobily Vývoj a testování elektronických řídicích jednotek pro automobily Jiří Sehnal Humusoft spol. s r.o. sehnal@humusoft.com EVV 2011 Automobilová elektronika Praha, 7. 6. 2011 Jiří Sehnal, Humusoft spol. s

Více

Digitální technika. Jazyk VHDL, základy návrhu. Ing. Jakub Št astný, Ph.D. 1

Digitální technika. Jazyk VHDL, základy návrhu. Ing. Jakub Št astný, Ph.D. 1 Digitální technika Jazyk VHDL, základy návrhu Ing. Jakub Št astný, Ph.D. 1 1 stastnj1@seznam.cz FPGA laboratory Department of Circuit Theory, FEE CTU Prague Technická 2, Praha 6, 166 27 http://amber.feld.cvut.cz/fpga

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

Založeno 1990. Vypracoval: Ing. Vladimír Povolný HATEL. 168 x 118 x 54 mm. provozní teplota -5 až +50 C ochrana IP 30 24V DC (20 až 30V), 0 je uzeměná

Založeno 1990. Vypracoval: Ing. Vladimír Povolný HATEL. 168 x 118 x 54 mm. provozní teplota -5 až +50 C ochrana IP 30 24V DC (20 až 30V), 0 je uzeměná Technická specifikace Založeno 1990 Vypracoval: Ing. Vladimír Povolný Datum: 14. červen 2006 pracuje jako komunikační brána. Zařízení je vybaveno dvěma sériovými komunikačními kanály, servisním sériovým

Více

Algoritmická syntéza. Rychlý návrh DSP systémů. Ing. Jakub Št astný, Ph.D.

Algoritmická syntéza. Rychlý návrh DSP systémů. Ing. Jakub Št astný, Ph.D. Algoritmická syntéza Rychlý návrh DSP systémů Ing. Jakub Št astný, Ph.D. http://amber.feld.cvut.cz/fpga/prednasky/hll_synteza/hlls.html Osnova přednášky 1 Motivace Vývoj polovodičů Konverze DSP algoritmu

Více