Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013

Rozměr: px
Začít zobrazení ze stránky:

Download "Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013"

Transkript

1 Paměti Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2013 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 1

2 Paměti - základní pojmy Paměťová buňka- element uložení a podržení informace obvykle 1 bit Ano - Ne (neplatí u některých NOR Flash - multilevel ) nonvolatile memory - informace zůstává volatile memory - po vypnutí se informace ztratí zapojení paměťových buněk do matice Slovo (uskupení bitů příslušících k sobě) Ideové uspořádání paměti - z hlediska uživatele - čtení slov - Byte podle přivedené adresy zdánlivě - lineární adresování jednotlivých slov adresa dekodér 1 z N paměťová buňka umístění slova řízení výstupu OE výstupní obvod data A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 2

3 Paměti - paměťová matice Lineární uspořádání paměti na čipu - nemožné ( pruh ) uspořádání paměťových buněk do matice (čtvercové, příp. obdél. matice) adresace buňky v řádku a sloupci výběr (aktivace ) řádku adresovým vodičem ( word line) přivedení informace na bitové vodiče výběr sloupce dekodér řádku adresa řádku paměťová matice adresový vodič (word line) bitový vodič ( bit line) adresa sloupce spínače sloupců dekodér sloupce Data A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 3

4 Paměti - stránka Současné čtení informace z jednoho řádku, uložení do vyrovnávací pam. řádku inf. na jednom řádku stránka - page jeden proces přípravy čtení - načtení stránky do vyrovnávací paměti adresa řádku - stránky paměťová matice postupný výběr podle adresy sloupce u FLASH, DRAM, SDRAM vyr. pam. stránky využití registru stránky při čtení i zápisu DRAM - fast page mode read, přivedení adresy řádku (ROW) jednou, adresa sloupce dále jen postupné přivádění sloupcových adres - Column spínače sloupců dekodér sloupce rychlé ukládání po jednotlivých Byte do reg. stránky, paralelní přesun po sloupcích do buněk jedné stránky Page mode Page mode (sector) - jediný režim u NAND FLASH (flash disků, pam. karet) pomalé - současné - paralelní čtení, (zápis) velkého množství inf. z (do) buněk A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 4 Data

5 Paměti - pam. matice x8, x16, x 32 Paměti o organizaci x8, x16, x32 modifikace paměťové matice pro každý bit - samostatná matice - pole Px z každé matice přivedení inf. na výstup současné čtení a přivedení dat na vstupy Ox ( O7 - O0) Dekodér řádků P 0 P 1 P 2 P 3 P 4 P 5 P 6 P 7 O0 - LSB (Least Significant Bit) nejnižší váha O7 - MSB (Most Significant Bit) nejvyšší váha Např. AT29C010 (1 Mbit) 128 k x8 matice 1024 x 1024, stránka 128 Byte, 128 x 8 = 1024 O 0 O 1 O 2 O 3 O 4 O 5 O 6 O 7 Označování signálů pamětí: Adresovací vstupy A0 - LSB... A15 - MSB, vždy označené A datové výstupy O7, -datové vstupy / výstupy: označ. DQ7, I/O7 různé podle výrobce a typu pam. A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 5

6 Paměti druhy Paměti v mikroprocesorovém systému - ROM a RWM ROM - Read Only Memory - pamět s definovaným obsahem, pouze k čtení Mask ROM - obsah definován při výrobě maskou propojení PROM - Programmable ROM - programovatelná pam. EPROM - Erasable PROM - mazatelná pam. EEPROM - Electricaly Erasable PROM - elektricky mazatelná paměť FLASH (ROM) - po blocích elektricky mazatelná paměť RWM - Read - Write Memory - paměť pro zápis, čtení RAM - Random Access Memory (paměť s náhodným přístupem)- (RWM) DRAM - Dynamická RAM (RWM ) FIFO - First In First Out (RWM ) typy paměťové buňky MOS pamětí ROM - EEPROM, FLASH - paměťový tranzistor MOS (modifikace vlastností), RWM - bistabilní klopný obvod, paměťový kondenzátor s výběrovým MOS tranzistorem A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 6

7 Paměti - asynchronní Paměti asynchronní - požadavek na čtení může přijít v libovolném okamžiku a s jistým zpožděním se objeví data Požadavek na zápis může přijít v libovolném okamžiku a s jistým zpožděním se data zapíší do paměti A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 7

8 Paměti synchronní Paměti synchronní paměť je řízena synchronním hodinovým signálem, který v čase diskretizuje a určuje okamžiky čtení, příp. zápisu proces čtení, příp. zápisu je synchronizován vnějším signálem se pouze určí, zda se bude, či nebude zapisovat nebo číst (analogie: cesta pěšky je možno započít cestu kdykoliv, ale pomalu cesta vlakem uskutečnění cesty možné pouze v diskrétních okamžicích 10:00, 10:30,.) rozhodnutí typu realizuje se cesta daným spojem ANO, NE) synchronní systémy- optimalizace na rychlost SDRAM, DDR, DDR2 - synchronní paměťové systémy FIFO paměti synchronní i asynchronní typy rychlé paměti synchronní paměti A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 8

9 Paměti Ideové schéma - diodová paměť dekodér 1 z 8 adres. vstupy A2 A1 A0 C B A O 0 O 1 O 7 +U 4 x R W_0 W_7 D D3 MSB datové výstupy D0 LSB A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 9

10 Paměti MOS Non - volatile memory - Nevolatilní paměti - podrží svůj obsah i při vypnutém napájení definice informačního obsahu MOS technologie - MOS paměťový tranzistor T na křížení řádkového vodiče word- line - W a sloupcového vodiče bit- line - B ideové schéma aktivace W, výběr sloupce C, výstup infor. - podle stavu T tranzistor nede nevede MASK ROM, v technologii výroby (masky pro expozici při fotolitografii) určují, zda je tranzistor funkční, nebo není (tlouštkou izolantu, propojením) MASK ROM - pevná paměť programu mikropočítačů při hromadné sériové výrobě (spotřební elektronika), BOOT ROM v DSP realizace jako MASK ROM ekonomické - při od sériiích kusů a více. MASK ROM - pro pevný firmware mikrořadiče ( boot loader ) CMOS technologie používaná pro výrobu rychlých DSP a mikrop. je vhodná i pro výrobu MASK - ROM (to často neplatí u FLASH) W C T C T +U B R výst. obvod A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 10

11 MOS paměťový tranzistor s plovoucím hradlem Plovoucí hradlo - floating gate; el. izolované hradlo plovoucí hradlo S G n + n + řídící hradlo izolant D S U G G = 12 V U D = 5 V D n + n + Programování - přeskok N kanál horkých elektronů (hot electrons) z při velké intenzitě proudu kanálem působení záporného náboje na plovoucím hradlu proti poli řídicí elektrody efekt - změna charakteristiky MOS tranzistoru - programování vodivosti Přiložení čtecího napětí U C na gate: nenaprogramovaný tranz. a) vede naprogramovaný tranz. b) nevede 1 tranzistor 1 bit informace (výjimka multilevel.) PROM (Programmable Read Only Memory) elektricky programovatelná paměť P substrát. I DS bez prog. a b naprog. P U C U GS A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 11

12 Paměti EPROM- Erasable PROM Programování koincidenční adresování programovaného T podmínka vzniku horkých. el. kladné napětí na elektrodách G i D tranzistoru vodič W - zvýšené napětí ( +12V) vodič B- +5V ( +6, 25 V) W 0 C 0 T C0 výst. obvod + C 1 T C1 Uchování náboje na plovoucím hradle, garantováno 10 a více let - W 1 + T F00 T F01 Možnost mazat - způsobit zpětný přeskok elektronů z plov. hradla, dodáním dostatečně velké energie ultafialovým zářením, vln. délka 257,3 nm a dávce 15 W.s/cm 2, Paměti EPROM keramické pouzdro s okénkem z křemenného skla T F10 B 0 T F11 B 1 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 12

13 Paměti OTP (EPROM) Keramické pouzdro - drahá výroba, levné plastové pozdro čip EPROM, v plastu, možnost programovat ( bez možnosti mazání)- jednou programovatelné OTP verze (One Time, Programmable), pozor provedení OTP u pamětí, ale i u pamětí programu některých mikropoč. pro sériovou výrobu, Pozn. OTP také některé spec. paměť. lokace v uproc. uložení unik. čísla,.. OTP - programovatelné u uživatele ( jak programovat 1000 ks a více?) Varianty jako (CMOS Expess ROM,..) programovatelné u výrobce Zajištění elektrického naprogramování před výstupním testem u výrobce. Ekonomické i při menším počtu kusů než MASK ROM nižší náklady při vlastním programování A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 13

14 Paměti ROM, EPROM, FLASH Paměti signály: adresové A0 (LSB)...A14,... datové D0 (LSB)... D7 (MSB) řídicí signály /CE, /OE aktivace čipu (Chip Enable) /CE, aktivní v L pokud /CE = H, neaktivní, malý odběr, aktivace výst. budiče - L (Output Enable) /OE paralelní paměť - paralelní rozhraní paralelně dat, adresy, říd. signály adresa A4 - A9 A12 - A14 I/00 data I/07 CE OE U CC dekodér řádků řízení vstupu dat 512 * 512 paměťová matice spínače sloupců dekodér sloupců A0 - A3 A10, A11 V PP A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 14

15 Paměti ROM, EPROM, FLASH - cyklus čtení Paměti signály: přivedení platné adresy ADR aktivace /CE, /OE, se zpožděním odezva - data ( analogie - příklad, společný odjezd v čase C) aby byla k dispouici data v C, musí být s příslušným předstihem aktivovány jednotlivé signálu Pokud má některý zpoždění, opoždění reakce celé paměti. deaktivace /CE nebo /OEvýstup přechází do stavu vysoké impedance (3. stav) data a platná ještě t OH data hold ADR CE OE výstupní data t A A t CE t OE t RC C t OH platná data Třídění pamětí podle t AA obdobný cyklus čtení - paralelní paměti EEPROM, FLASH, SRAM,, znát! A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 15

16 Paměti EPROM typy, označení Označení 27 a poč. kilobitů tedy 2716 (historie) 16 kilobitů, organizace x 8 bitů, Byte tedy 2k x 8 bitů, znak 27 symbolizuje EPROM (podobně 8751 verze CPU 8051 s EPROM) číslo 7 - často svázáno s EPROM verzí ( Podobně číslo 8 na druhé pozici označení obvodu svázáno s FLASH technologií 12V prog., a číslo 9 s FLASH technologií pro 5V - AT 89C51, paměť Flash AT29C010) 27256, ( technologie NMOS - ) Varianty s C - technologie CMOS 27C256, 27C512,.. 27C010 ( 1Mbit) 128 k x8, 27C020, 27C040, 27C080 Stanoveno rozložení vývodů na pouzdře (mimo jiné) JEDEC standard stejné označení, např 27C040 - shodné vývody, funkce, kapacita a organizace různé rychlosti, ale stejný způsob specifikace parametrů), vzájemná záměna. A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 16

17 Paměti EPROM typy, označení Typy: kapacita org. typ vývodů 64 kbit 8 k x 8 27C kbit 16 k x 8 27C kbit 32 k x 8 27C kbit 64 k x 8 27C Mbit 128 k x 8 27C Mbit 64 k x16 27C Mbit 256 k x 8 27C Mbit 128 k x16 27C Mbit 512 k x 8 27C Mbit 1M x 8 27C A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 17

18 Paměti EPROM,.. vývody Stanoveno rozložení vývodů na pouzdře (mimo jiné) JEDEC standard stejné označení, např. 27C040 - shodné vývody, funkce, kapacita a organizace různé rychlosti, ale stejný způsob specifikace parametrů), vzájemná záměna. A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 18

19 Paměti EEPROM Electrically Erasable Programmable Read Only Memory Navazuje na EPROM, odlišný způsob programování, Fowler Nordheim tunelování velmi tenká vrstva izolantu mezi elektrodou Drain a plovoucím hradlem Přivedením napětí na elektrody G a D, velká intezita el. pole, přeskok elektronů přes barieru Programování tunelováním, mazání tunelováním (opačné orientace napětí) K paměťovým tranzistorům musí být připojeny další pomocné tranzistory pro výběr. Více tranzistorů na bit, složitější struktura Možnost programování a mazání po jednotlivých Byte v obvodu při normálním napájení ( + 5 V, příp. 3.3 V). Na čipu obvod nábojové pumpy (násobič napětí) A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 19

20 Paměti EEPROM Paralelní paměti EEPROM 28C64, 28C256, 28C010 (64, 256, 1024 kbitů), obdobné rozložení pinů na pouzdře jako EPROM, možnost programování v obvodu Dříve používané jako alternativa EPROM, V současnosti EEPROM používané jako paměť dat (paměť pro konstanty, nastavení přístroje, kalibrační konstanty) Příklad - SIM karta mobilní telefon obsahuje EEPROM jako paměť čísel Sériové paměti EEPROM s rozhraním IIC bus, microwire, SPI, typy 24C02, 24C256, 93C46, 93C56 microwire známé konfigurační paměti, síťové karty, zvukové karty 25C256, 25C512 SPI 256, 512 kbitů, (podobné M25P64 SPI FLASH, 64Mbitů) Heslo EEPROM emulation míní se použití paměti pro záznam konstant a jejich modifikaci po Byte uživatelským programem A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 20

21 Sériové paměti EEPROM Sériové paměti EEPROM s rozhraním IIC bus, microwire, SPI, využitelné pouze jako datové paměti typy 24C02, 24C256, 93C46, 93C56 microwire známé konfigurační paměti, síťové karty, zvukové karty 25C256, 25C512 SPI 256, 512 kbitů, (podobné M25P64 SPI FLASH, 64Mbitů) Heslo EEPROM emulation míní se použití paměti pro záznam konstant a jejich modifikaci po Byte uživatelským programem A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 21

22 Paměti EEPROM Programování EEPROM po Byte trvání jednotky ms programování v režimu stránky PAGE u EEPROM se obvykle nemusí programovat všechny Byte na stránce ( u pamětí FLASH ANO) A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 22

23 Paměti FLASH, typ. NOR Paměťová buňka, floating gate, programování hot electrons upravená struktura paměťového tranzistoru struktura paměti obdobná paměti EPROM, Byte write programování Byte, Page Write -programování stránky - buňky ležící v jednom řádku (i menší stránky) se programují najednou, zvýšení efektivní rychlosti programování Programování - v programátoru ( Beeprog - Elnec, a pod.) mazání, Uživatelské programování - v aplikaci, přivedení dat a řídicích slov do paměti Možnost elektrického mazání tunelováním, nelze mazat jednotlivé tranzistory (není koincidenční adresování) První FLASH - mazání celé paměti, BULK erase hromadné mazání čipu nebo mazání sektorů, příp. sector Erase programování (horkými elektrony) programování 10 ky až 100 ky us, mazání pomalejší 10 ky až 100 ms a více, odlišné podle typu paměti Zapisovat je možno pouze do vymazané paměťové buňky, mazání, programování pomalejší než prosté čtení A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 23

24 Paměti FLASH v mikrořadičích FLASH NOR jako interní paměť programu mikrořadičů AT89C51RC2, ADuC843, ale i STM32F103 ( ARM Cortex M3) někdy jejich využití jako náhrady EEPROM- pro záznam dat (viz - kalibrační konstanty přístroje cvičení) V mikropčítačích a mikrořadičích - potřeba rychlé paměti, (reálná doba přístupu FLASH ns?? omezení rychlosti, načítání více Byte současně ( 64 bitů,..) Někdy řešení BURST mode ( ADSP BF504F)- stránkový přístup Paměť Flash pomalejší než interní paměť SRAM, kritické části programu přesun z FLAS do RAM A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 24

25 Další paměti Další informace použité a prezentované při přednášce: Paměti EEPROM se sériovým rozhraním SPI, IIC BUS, Microwire příklady: Atmel 25C256 - SPI EEPROM, AT45DB041B SPI Flash Spansion Am29LV160B paralelní FLASH Atmel 24C512 sériová EEPROM s rozhraním IIC Bus princip zápisu Page mode, výhody, vysvětlení postaty zrychlení zápisu, Použití sériových EEPROM v přístroji a zařízení, příklady, Pojem - NOR Flash paměť A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 25

26 Srovnání paměti FLASH NOR a FLASH NAND Paměti FLASH NOR paměť buňka 3 přívody, bitový vodič, slovní vodič, GND, problém hustoty zvýšení hustoty integrace- paměťový tranzistor ve skupině 16 (8) tranzistorů napojení pouze 2 vodiči W 0 W 1 B 0 B 1 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 26

27 Paměti FLASH NAND Paměti FLASH NAND pouze jako datové paměti, není možno je využít jako paměti programu ( tedy, že by se přímo četl instrukční kód paměti, není možný náhodný přístup ke čtení BYTE, význam- čtení po sektorech, hromadné čtení mnoha tisíc bitů naráz ( v množství je síla ) jeden cyklus čtení NAND FLASH je pomalý?? us, ale současné čtení více sektorů, rychlost. NAND není 100 procent dobrých buňek, postupné poškozování (viz FLASH disk) správa vadných sektorů,.. pam. karta, Flash disk správa zajištěna paměť NAND FLASH jako součástka - nutno správu zajistit programem kontrola po zápisu dat, A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 27

28 Paměti RWM Paměťová buňka SRAM 4 T (také používaná v NMOS) stálý proudový odběr /B W Ucc R1 R2 výběr slova B /B, B bitové vodiče u rychlých CMOS SRAM, operace přednabití /B, B, mezi L a H rozdílový zesilovač na /B a B, přivedení informace z buňky podle W rychlé překlopení z nestabilního stavu (analogie přesné laboratorní váhy) T3 T1 T2 T4 Ucc /B B W T3 T4 6 T paměťová buňka použita technologie CMOS T5 T6 velmi nízký statický proudový odběr T1 T2 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 28

29 Dvoubránové paměti RAM Paměťová buňka dvoubránové paměti Ucc /BL /BR BR BL R1 R2 W_L T3 T4 W_R T5 T6 T1 T2 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 29

30 Paměti RWM - SRAM, matice Uspořádání paměťových buněk v paměťové matici - SRAM dekodér řádku R1R2 Ucc R3 R4 R5 R6 R7R8 A0, A1 dekodér sloupce A2, A3 Din Dout /CS /WE /OE A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 30

31 Paměti SRAM Organizace (x1 bit, x4 bit - staré) x bitů, x16 bitů klasické CMOS SRAM, nízkopříkonové, označení často začíná 62xxx 6264, 62256, doby přístupu desítky až přes 100 ns, adresové vstupy, datové vstupy/ výstupy, řídicí signály SRAM: /CS výběr čipu, (chip select) ( někdy více /CS /OE řízení výst. budiče - (output enable) /WE povolení zápisu (write enable) A14 - A0 D7 - D0 OE CS WE KM paměťové pole RAM Rozložení vývodů- JEDEC standard, vždy stejně ( EPROM) a (SRAM) shodné rozložení signálů na pouzdře adresy, data, /OE, /CS (resp. /CE), GND, Ucc, SRAM navíc /WE Rychlé SRAM, ( jako vyrovnávací paměti) doby přístupu přibl. 10 ns. A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 31

32 Paměti SRAM - cyklus zápisu Přivedení adresy a platných dat významný okamžikukončení aktivity /WE nebo /CS (který dříve) ukončení podmínky WE x CS = 1 ADR CS t AS t WC t CW t WP data stabilní: t DW před aktiv. hranou předstih dat (set up time) t DH po náběžné hraně zapisovacího přesah dat (hold time) impulsu /WE ( příp. /CS) WE vstupní data ( OE = H ) Z t DW platná data t DH A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 32

33 Paměti SRAM - cyklus čtení Cyklus čtení, obdobný jako u EPROM, FLASH,. ADR t RC t CO CS /CS výběr čipu - ( Chip Select) t OE /OE - povolení výstupu OE t AA (Output Enable) - aktivace výstpních budičů, jinak ve stavu vysoké impedance výstupní data ( WE = H) C platná data A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 33

34 Paměti SRAM - řídicí signály Význam řídicích signálů paměti SRAM, stavy /CS výběr čipu (chip select) /CS = L čip bude reagovat na řídicí signály /CS = H obvod je v neaktivním stavu nízkého proudového odběru /CE povolení čipu (chip enable) v funkce výběru čipu ( jako /CS) z hlediska uživatele stejná funkce, (/CS u Low power RAM, /CE spíše u rychlých SRAM, s vyšším klidovým odběrem) /OE povolení výstupu (output enable) /WE povolení zápisu (write enable) /CS /WE /OE mód činnosti I/O vývody odběr I H X X klidový stav vysoká imp. I SB L H H zákaz výstupu vysoká imp. I CC L H L čtení D OUT I CC L L X zápis D IN I CC I SB - (standby), klidový proud paměti v neaktivním stavu A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 34

35 Async. Fast SRAM 1M x 16 SRAM - IS61WV102416BLL firma ISSI, Ucc= 3,3V, 1 M x 16 bitů, min. doba přístupu 8 ns ( /CE povolení čipu (chip enable) /OE povolení výstupu (output enable) /WE povolení zápisu (write enable) /UB řízení horního (upper Byte) bajtu /LB řízení dolního (lower Byte) bajtu A 19 - A 0 adresové vstupy I/O 15 I/O 0 datové vstupy - výstupy A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 35

36 Časové diagramy - Async. Fast SRAM 1M x 16 SRAM - IS61WV102416BLL firma ISSI, Ucc= 3,3V min. doba přístupu 8 ns ( Čtení, /CE = /OE = L paměť stále aktivována změna dat na výstupu dána pouze změnou adresy Čtení, řízení vstupů /CE, /OE /CE =H funkce - power down A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 36

37 SRAM - 4Mb Async. Fast SRAM Příklad standardní současné standardní SRAM Samsung K6R4008V1D 512K x 8 U CC = 3,3 V t AA = 8 (10) ns Podobně varianty 256 k x16 diskuse - k čemu je CLK Gen, Pre-Charge Circuit A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 37

38 Časové diagramy - 4Mb Async. Fast SRAM - A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 38

39 Časové diagramy SRAM - orientace v údajích - A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 39

40 Synchronní SRAM Synchronní SRAM 256k x 32 ISSI typu IS61LPS25632A použita jako záznamová paměť v osciloskopu Rigol DS 1052E (obdoba Agilent, (viz osciloskop. cvičení měření a senzory) 2 bit čítač Burst A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 40

41 Synchronní SRAM IS61LPS25632A A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 41

42 Synchronní SRAM IS61LPS25632A použita v osciloskopu Rigol DS 1052Eobdoba Agilent, cvičení SM) CLK synchr. hod.sig /GW synchr. global write /CE, /CE2, CE2 synchr. chip enable /OE output enable MODE výběr druhu burst. A synchr. adr. vstupy /ADSP nebo /ADSC aktivace burs BURST rychlé čtení dat ze 4 sousedních lokací A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 42

43 Synchronní SRAM IS61LPS25632A synchronní cyklus čtení CLK synchr. hod.sig /GW synchr. global write /CE, /CE2, CE2 synchr. chip enable /OE output enable MODE výběr druhu burst. A synchr. adr. vstupy /ADSP nebo /ADSC aktivace burs BURST rychlé čtení dat ze 4 sousedních lokací BURST čtení 1 adr. lokace - čtení A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 43

44 Dvoubránové paměti Zdvojená funkce, do každé buňky přístup z leva i zprava buňky dvoubránové paměti ( pozor - rozdíl od tzv. dvoubránové paměti, kde se pouze přepínají adresové, datové signály ke standardní SRAM) (analog. poštovní přihrádka) Libovolný přístup, pouze kolize A9 L - A0 L snaha o současný zápis do stejné buňky ve stejný čas ( L i R) čtení z buňky při současně probíhajícím ( a nedokončeném) zápisu a čtení téže buňky Pozastavení později začaté činnosti signálem ( /BUSY) A9 R - A0 R I/O0 L - I/O7 paměťové I/O0 L R - I/O7 R pole OE OE L s dvoust. R CE L přístupem CE R R/ W L R/ W R INT L BUSY L IDT 7130 arbitrážní logika INT R BUSY R A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 44

45 Dvoubránové paměti - typy Dvoubránová paměť, specializovaná součástka, problém s dostupností menších množství příklady standardních typů - malé kapacity kapacita org. typ výr. vývody pozn. 8 kbit 1k x Id, Cy, Am 48, 52* master 8 kbit 1k x Id, Cy 48, 52* slave 16 kbit 2k x Id, Cy 48, 52* master 16 kbit 2k x Id, Cy 48, 52* slave 32 kbit 4k x Id, Cy 48, 52* 64 kbit 8k x Id 68* 16 kbit 2k x Id PQFP132 čtyřbránová RAM Použití pro předávání dat mezi dvěma procesory (telegramy) signály /INT L, /INT R - zápis do n max buňky (příp n max -1 ) z jedné strany generuje přerušemí pro stranu druhou, využití - data připravena (signalizace), (analogie - výzva k vyzvednutí zásilky) Rozšíření na 16 bitů slovo typy, master, slave - z hlediska generace Busy. A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 45

46 Paměti FIFO Paměťová buňka dvoubránové paměti, na čipu dva nezávislé čítače (zápisový a čtecí) pro čtecí čítač nesmí (ani nemůže) předběhnout zápisový čítač, FIFO je sekvenční obvod, nutno nulovat - RESET, /RS, EF FF HF D vstup dat 9 bitů W zápis logika příznaků čítač a řídicí logika zápisu pole dvoubránové RAM čítač a řídicí logika čtení nulovací logika čtení řízení výst. budičů Q výstup dat 9 bitů R RT RS nulování /R Sig. čtení, má význam /OE /W zapisovací signál, má význam /CS /RT Retransmit příznaky: /EF empty Flag /FF /Full Flag /HF Half Full Flag A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 46

47 Paměť FIFO- řízení Pro zápis - rozhoduje náběžná hrana /W ( asynchronní FIFO) Předstih, přesah dat. R t RC t A t RR t A t RPW t RHZ t RHZ Q 0 -Q 8 výst. data platná výst. data platná t WC W t WPW t WR t DS t DH D 0 -D 8 vst. data platná vst. data platná A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 47

48 Paměti FIFO - typy Asynchronní paměti FIFO, 7202, generické typy, stejné uspořádání - řada výrobců kapacita org. typ výrobce výv. A/S pozn. 2 kbit 256 x Id, Am, Ti 28 A 5 kbit 512 x Id, Cy, Am, Ti, Sa 28 A Sa. 75C01 9 kbit 1k x Id, Cy, Am, Ti, Sa 28 A Sa. 75C02 18 kbit 2k x Id, Cy, Am, Ti, Sa 28 A Sa. 75C03 36 kbit 4k x Id, Cy, Am, Ti 28 A 72 kbit 8k x Id, Cy, Am 28 A 144 kbit 16k x Id 28 A 288 kbit 32k x Id 28 A 18 kbit 2 k x Id, Ti 32 S prog. flag /AE, /AF 16 kbit 2 k x Id 44* A par./sér. FIFO 18 kbit 1k x Id 52* S obousměr. FIFO Cyklus zápisu, čtení ns A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 48

49 Paměti FIFO - synchronní typy Vyšší frekvence zápisu - rychlejší, synchronní paměti FIFO (50 až 100 MHz), synchronní ( hodinový signál), signály /W a /R pouze ve funkci kvalifikátorů, zda v příslušném hodinovém cyklu proběhne, nebo neproběhne zápis, případně čtení. skutečný okamžik zápisu a čtení je určen hodinovým signálem. (analogie - doprava), časová optimalizace vnitřních dějů v paměti. Rychlé řadiče USB Cypress, Texas, FTDI funkce jako -synchronní nebo asynchronní FIFO, podle konfigurace. Z hlediska zápisu - obdoba chování paměti FIFO. Obdobně režimy zápisu asynchronní pomalejší, ale obvodově jednodušší realizace) synchronní rychlejší, ale obvodově náročnější. A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 49

50 Dynamické paměti RWM Vyšší hustota,1tranzistor _C 1 bit sloupcové čtecí vodiče paměťový kapacitor C p nutnost obnovení náboje (přečtením se informace za pomoci čtecích zesilovačů obnovuje Refresh obnovení obnovení informace v celém čteném řádku, viz heslo PAGE Dynamické paměti typu Fast Page, adresy řádku + /RAS, adresy sloupce + /CAS Multiplexní adresování heslo Fast Page Mode Read EDO RAM (Exteded data Output) SDRAM - synchronní DRAM výběr řádku 2 výběr řádku 1 podpora SDRAM procesory obsahují řadič pro spolupráci vyšší procesory ARM, signálové procesory, SDRAM v PDA, mobilní telefony s oper. systémem, (boot operačního systému do SDRAM) Cp Cp k čtecím zesilovačům Cp Cp k čtecím zesilovačům A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 50

51 Pro další studium a pro pochopení problematiky polovodičových pamětí je vhodné využít též katalogových příslušných pamětí, které jsou též umístěny na www stránkách předmětu. Tento materiál je určen pouze pro studenty předmětů A3B38MMP, při přednáškách a domácí přípravě Slouží především jako grafický podklad a přehled hesel k přednášce. Studium tohoto materiálu nenahrazuje účast na přednášce, která mimo jiné obsahuje výklad k prezentaci i další vysvětlení a výklad u tabule. Tento materiál nesmí být využíván k jiným účelům ani publikován jinou formou. Jan Fischer 2013 A3B38MMP, 2013, J. Fischer, ČVUT - FEL, Praha, kat. měření 51

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2014

Paměti. Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2014 Paměti Prezentace je určena jako pro studenty zapsané v předmětu A3B38MMP. ČVUT- FEL, katedra měření, Jan Fischer, 2014 A3B38MMP, 2014, J. Fischer, ČVUT - FEL, Praha, kat. měření 1 Paměti - základní pojmy

Více

Paměti, přednáška 7 a 8. studenty zapsané v předmětu: A3B38MMP a X38MIP, ČVUT- FEL, katedra měření, přednášející Jan Fischer

Paměti, přednáška 7 a 8. studenty zapsané v předmětu: A3B38MMP a X38MIP, ČVUT- FEL, katedra měření, přednášející Jan Fischer Paměti, přednáška 7 a 8 v. 2011 Materiál je určen jako pomocný materiál pouze pro studenty zapsané v předmětu: A3B38MMP a X38MIP, ČVUT- FEL, katedra měření, přednášející Jan Fischer Jan Fischer, 2011 1

Více

Paměti. Přednáška 7,8 - Paměti - tento materiál slouží pouze jako grafický podklad k přednášce a neposkytuje

Paměti. Přednáška 7,8 - Paměti - tento materiál slouží pouze jako grafický podklad k přednášce a neposkytuje Paměti Přednáška 7,8 - Paměti - tento materiál slouží pouze jako grafický podklad k přednášce a neposkytuje samostatný a úplný výklad X38MIP -2010, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Paměti -

Více

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni

Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/21- Západočeská univerzita v Plzni Hierarchire pamětí Miroslav Flídr Počítačové systémy LS 2006-2/21- Západočeská univerzita

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška A3B38MMP 2013 kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral

Více

Operační paměti počítačů PC

Operační paměti počítačů PC Operační paměti počítačů PC Dynamické paměti RAM operační č paměť je realizována čipy dynamických pamětí RAM DRAM informace uchovávána jako náboj na kondenzátoru nutnost náboj pravidelně obnovovat (refresh)

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš

Paměťové prvky. ITP Technika personálních počítačů. Zdeněk Kotásek Marcela Šimková Pavel Bartoš Paměťové prvky ITP Technika personálních počítačů Zdeněk Kotásek Marcela Šimková Pavel Bartoš Vysoké učení technické v Brně, Fakulta informačních technologií v Brně Božetěchova 2, 612 66 Brno Osnova Typy

Více

Mikroprocesory pro vest. aplikace, Sběrnice, paměti, vstupy, výstupy Přednáška , A4B38NVS, kat. měření, ČVUT - FEL, Praha. J.

Mikroprocesory pro vest. aplikace, Sběrnice, paměti, vstupy, výstupy Přednáška , A4B38NVS, kat. měření, ČVUT - FEL, Praha. J. Mikroprocesory pro vest. aplikace, Sběrnice, paměti, vstupy, výstupy Přednáška 12 2015, A4B38NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2015, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky

Více

Informační a komunikační technologie

Informační a komunikační technologie Informační a komunikační technologie 7. www.isspolygr.cz Vytvořil: Ing. David Adamovský Strana: 1 Škola Integrovaná střední škola polygrafická Ročník Název projektu 1. ročník SOŠ Interaktivní metody zdokonalující

Více

Paměti Flash. Paměti Flash. Základní charakteristiky

Paměti Flash. Paměti Flash. Základní charakteristiky Paměti Flash K.D. - přednášky 1 Základní charakteristiky (Flash EEPROM): Přepis dat bez mazání: ne. Mazání: po blocích nebo celý čip. Zápis: po slovech nebo po blocích. Typická životnost: 100 000 1 000

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností a hlavnímu parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod Operační paměť

Více

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni

Dělení pamětí Volatilní paměti Nevolatilní paměti. Miroslav Flídr Počítačové systémy LS /11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní paměti Počítačové systémy Vnitřní paměti Miroslav Flídr Počítačové systémy LS 2006-1/11- Západočeská univerzita v Plzni ělení pamětí Volatilní paměti Nevolatilní

Více

4.2 Paměti PROM - 87 - NiCr. NiCr. Obr.140 Proudy v naprogramovaném stavu buňky. Obr.141 Princip PROM. ADRESOVÝ DEKODÉR n / 1 z 2 n

4.2 Paměti PROM - 87 - NiCr. NiCr. Obr.140 Proudy v naprogramovaném stavu buňky. Obr.141 Princip PROM. ADRESOVÝ DEKODÉR n / 1 z 2 n Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

Paměti počítače ROM, RAM

Paměti počítače ROM, RAM Paměti počítače ROM, RAM Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje. Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru

Více

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J.

A4B38NVS, 2011, kat. měření, J.Fischer, ČVUT - FEL. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha. J. Rozhraní mikrořadiče, SPI, IIC bus,.. A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Náplň přednášky Druhá část. přednášky 12 Sériové rozhraní SPI, Sériové rozhraní IIC A4B38NVS, 2011, kat. měření,

Více

Zvyšování kvality výuky technických oborů

Zvyšování kvality výuky technických oborů Zvyšování kvality výuky technických oborů Klíčová aktivita V.2 Inovace a zkvalitnění výuky směřující k rozvoji odborných kompetencí žáků středních škol Téma V.2.1 Logické obvody Kapitola 24 Vnitřní paměti

Více

Paměti počítače 9.přednáška

Paměti počítače 9.přednáška Paměti počíta tače 9.přednáška Paměť Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na

Více

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry.

Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Paměti Cílem kapitoly je seznámit studenta s pamětmi. Jejich minulostí, současností, budoucností a hlavními parametry. Klíčové pojmy: paměť, RAM, rozdělení pamětí, ROM, vnitřní paměť, vnější paměť. Úvod

Více

Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer

Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška , kat. měření, ČVUT - FEL, Praha J. Fischer Mikroprocesory pro vest. aplikace, Sběrnice, vstupy, výstupy Přednáška 12 2012, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky Rekapitulace

Více

PROTOKOL O LABORATORNÍM CVIČENÍ

PROTOKOL O LABORATORNÍM CVIČENÍ STŘEDNÍ PRŮMYSLOVÁ ŠKOLA V ČESKÝCH BUDĚJOVICÍCH, DUKELSKÁ 13 PROTOKOL O LABORATORNÍM CVIČENÍ Provedl: Jan Kotalík Datum: 3.1. 2010 Číslo: Kontroloval/a Datum: 1. ÚLOHA: Návrh paměti Pořadové číslo žáka:

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12)

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A438NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2012, J.Fischer, kat. měření, ČVUT - FEL 1 Náplň přednášky Sériová rozhraní rozhraní

Více

Způsoby realizace paměťových prvků

Způsoby realizace paměťových prvků Způsoby realizace paměťových prvků Interní paměti jsou zapojeny jako matice paměťových buněk. Každá buňka má kapacitu jeden bit. Takováto buňka tedy může uchovávat pouze hodnotu logická jedna nebo logická

Více

Ne vždy je sběrnice obousměrná

Ne vždy je sběrnice obousměrná PAMĚTI Ne vždy je sběrnice obousměrná Paměti ROM (Read Only Memory) určeny pouze pro čtení informací. Informace jsou do těchto pamětí pevně zapsány při jejich výrobě a potom již není možné žádným způsobem

Více

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC

Sběrnicová struktura PC Procesory PC funkce, vlastnosti Interní počítačové paměti PC Informatika 2 Technické prostředky počítačové techniky - 2 Přednáší: doc. Ing. Jan Skrbek, Dr. - KIN Přednášky: středa 14 20 15 55 Spojení: e-mail: jan.skrbek@tul.cz 16 10 17 45 tel.: 48 535 2442 Obsah:

Více

Rozhraní mikrořadiče, SPI, IIC bus,..

Rozhraní mikrořadiče, SPI, IIC bus,.. Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 14 - X38MIP -2009, kat. měření, ČVUT - FEL, Praha J. Fischer 1 Rozhraní SPI Rozhraní SPI ( Serial Peripheral Interface) - původ firma Motorola SPI není typ

Více

Technické prostředky počítačové techniky

Technické prostředky počítačové techniky Počítač - stroj, který podle předem připravených instrukcí zpracovává data Základní části: centrální procesorová jednotka (schopná řídit se posloupností instrukcí a ovládat další části počítače) zařízení

Více

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje

Paměti. Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti Paměť je zařízení, které slouží k ukládání programů a dat, s nimiž počítač pracuje Paměti počítače lze rozdělit do tří základních skupin: registry paměťová místa na čipu procesoru jsou používány

Více

Vestavné systémy. BI-VES Přednáška 8. Ing. Miroslav Skrbek, Ph.D.

Vestavné systémy. BI-VES Přednáška 8. Ing. Miroslav Skrbek, Ph.D. Vestavné systémy BI-VES Přednáška 8 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer

Přednáška A3B38MMP. Bloky mikropočítače vestavné aplikace, dohlížecí obvody. 2015, kat. měření, ČVUT - FEL, Praha J. Fischer Přednáška A3B38MMP Bloky mikropočítače vestavné aplikace, dohlížecí obvody 2015, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL Praha 1 Hlavní bloky procesoru

Více

Mikroprocesorová technika a embedded systémy. doc. Ing. Tomáš Frýza, Ph.D.

Mikroprocesorová technika a embedded systémy. doc. Ing. Tomáš Frýza, Ph.D. Ústav radioelektroniky Vysoké učení technické v Brně Polovodičové paměti Mikroprocesorová technika a embedded systémy Přednáška 9 doc. Ing. Tomáš Frýza, Ph.D. listopad 2012 Obsah přednášky Dělení polovodičových

Více

Paměť počítače. 0 (neprochází proud) 1 (prochází proud)

Paměť počítače. 0 (neprochází proud) 1 (prochází proud) Paměť počítače Paměť je nezbytnou součástí jakéhokoli počítače. Slouží k uložení základních informací počítače, operačního systému, aplikačních programů a dat uživatele. Počítače jsou vybudovány z bistabilních

Více

PAMĚTI ROM, RAM, EPROM, EEPROM

PAMĚTI ROM, RAM, EPROM, EEPROM Elektronická paměť je součástka, zařízení nebo materiál, který umožní uložit obsah informace (zápis do paměti), uchovat ji po požadovanou dobu a znovu ji získat pro další použití (čtení paměti). Informace

Více

Úloha Ohmetr zadání úlohy

Úloha Ohmetr zadání úlohy Úloha Ohmetr zadání úlohy Přednáška 3 - část A3B38MMP kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2015, J.Fischer, kat. měření, ČVUT - FEL 1 Měření odporu pomocí MKO 74121 Sestavte mikroprocesorem

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Paměti polovodičové. Jedná se o mikroelektronické obvody s velkou hustotou integrace.

Paměti polovodičové. Jedná se o mikroelektronické obvody s velkou hustotou integrace. Paměti polovodičové Jedná se o mikroelektronické obvody s velkou hustotou integrace. Kromě základních vlastností, jako jsou kapacita a maximální doba přístupu se hodnotí i příkon a počet napájecích napětí

Více

Zkouškové otázky z A7B31ELI

Zkouškové otázky z A7B31ELI Zkouškové otázky z A7B31ELI 1 V jakých jednotkách se vyjadřuje napětí - uveďte název a značku jednotky 2 V jakých jednotkách se vyjadřuje proud - uveďte název a značku jednotky 3 V jakých jednotkách se

Více

DUM č. 10 v sadě. 31. Inf-7 Technické vybavení počítačů

DUM č. 10 v sadě. 31. Inf-7 Technické vybavení počítačů projekt GML Brno Docens DUM č. 10 v sadě 31. Inf-7 Technické vybavení počítačů Autor: Roman Hrdlička Datum: 04.12.2013 Ročník: 1A, 1B, 1C Anotace DUMu: jak fungují vnitřní paměti, typy ROM a RAM pamětí,

Více

Pokročilé architektury počítačů

Pokročilé architektury počítačů Pokročilé architektury počítačů Architektura paměťového a periferního podsystému České vysoké učení technické, Fakulta elektrotechnická A4M36PAP Pokročílé architektury počítačů Ver.1.00 2010 1 Motivace

Více

Paměti Josef Horálek

Paměti Josef Horálek Paměti Josef Horálek Paměť = Paměť je pro počítač životní nutností = mikroprocesor z ní čte programy, kterými je řízen a také do ní ukládá výsledky své práce = Paměti v zásadě můžeme rozdělit na: = Primární

Více

Paměti operační paměti

Paměti operační paměti Paměti operační paměti Autor: Kulhánek Zdeněk Škola: Hotelová škola, Obchodní akademie a Střední průmyslová škola Teplice, Benešovo náměstí 1, příspěvková organizace Kód: VY_32_INOVACE_ICT_828 1.11.2012

Více

Paměti EEPROM (1) 25/07/2006 1

Paměti EEPROM (1) 25/07/2006 1 Paměti EEPROM (1) EEPROM - Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

HW počítače co se nalézá uvnitř počítačové skříně

HW počítače co se nalézá uvnitř počítačové skříně ZVT HW počítače co se nalézá uvnitř počítačové skříně HW vybavení PC Hardware Vnitřní (uvnitř počítačové skříně) Vnější ( ) Základní HW základní jednotka + zobrazovací zařízení + klávesnice + (myš) Vnější

Více

Programovatelná logika

Programovatelná logika Programovatelná logika Přehled historie vývoje technologie programovatelných obvodů. Obvody PLD, GAL,CPLD, FPGA Příklady systémů a vývojových prostředí. Moderní elektrotechnický průmysl neustále stupňuje

Více

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3)

Paměti EEPROM (1) Paměti EEPROM (2) Paměti Flash (1) Paměti EEPROM (3) Paměti Flash (2) Paměti Flash (3) Paměti EEPROM (1) EEPROM Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a později z nich informace vymazat

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

Zkouška z předmětu Počítačové systémy

Zkouška z předmětu Počítačové systémy Zkouška z předmětu Počítačové systémy Jméno a příjmení: Datum zkoušky: Celkový počet bodů: Výsledná známka: Poznámka: Pokud není uvedeno jinak, uvažujte v následujících příkladech procesor Z80. Odpovědi

Více

PAMĚŤOVÉ OBVODY. BDIO Ing. Pavel Šteffan, Ph.D.

PAMĚŤOVÉ OBVODY. BDIO Ing. Pavel Šteffan, Ph.D. PAMĚŤOVÉ OBVODY BDIO Ing. Pavel Šteffan, Ph.D. ZÁKLADNÍ PARAMETRY PAMĚTÍ kapacita: mnoţství informací, které je moţné do paměti uloţit přístupová doba: doba, kterou je nutné čekat od zadání poţadavku,

Více

Karel Johanovský Michal Bílek. Operační paměť

Karel Johanovský Michal Bílek. Operační paměť Karel Johanovský Michal Bílek SPŠ-JIA Operační paměť 1 3 SO- Paměti - úvodem Paměti můžeme dělit dle různých kritérií: podle přístupu k buňkám paměti podle možnosti změny dat podle technologie realizace

Více

Úvod do programování a práce s počítačem 2

Úvod do programování a práce s počítačem 2 Úvod do programování a práce s počítačem 2 Typy paměti RWM, RAM (Read Write Memory, Random Access Memory) provádí se zápis i čtení závislost na napájecím napětí SRAM» statická» jednou zapsaná informace

Více

Architektura počítače

Architektura počítače Architektura počítače Výpočetní systém HIERARCHICKÁ STRUKTURA Úroveň aplikačních programů Úroveň obecných funkčních programů Úroveň vyšších programovacích jazyků a prostředí Úroveň základních programovacích

Více

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA

Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Tematická oblast ELEKTRONIKA Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_ENI_2.MA_17_Číslicový obvod Název školy Střední odborná škola a Střední odborné učiliště, Dubno Autor Ing. Miroslav Krýdl Tematická oblast

Více

Paměti personálních počítačů, vývoj pojmů, technologie, organizace

Paměti personálních počítačů, vývoj pojmů, technologie, organizace Paměti personálních počítačů, vývoj pojmů, technologie, organizace 1 Cíl přednášky Popsat architektury vnitřních pamětí personálních počítačů. Zabývat se vývojem pojmů, technologií, organizací. Vývoj technologie

Více

Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns ms rychlost toku dat (tj. počet přenesených bitů za sekundu)

Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns ms rychlost toku dat (tj. počet přenesených bitů za sekundu) Paměti Parametry pamětí vybavovací doba (tj. čas přístupu k záznamu v paměti) = 10 ns...100 ms rychlost toku dat (tj. počet přenesených bitů za sekundu) kapacita paměti (tj. počet bitů, slabik, slov) cena

Více

Hardware ZÁKLADNÍ JEDNOTKA

Hardware ZÁKLADNÍ JEDNOTKA Hardware ZÁKLADNÍ JEDNOTKA RNDr. Jan Preclík, Ph.D. Ing. Dalibor Vích Jiráskovo gymnázium Náchod Skříň počítače case druhy Desktop Midi tower Middle tower Big tower Hardware - základní jednotka 2 Základní

Více

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor

FASTPort. Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům. aneb. Jak připojit koprocesor FASTPort Nová sběrnice pro připojení inteligentních karet* k osmibitovým počítačům aneb Jak připojit koprocesor *) inteligentní karta = karta vybavená vlastním procesorem J. Němeček 12. 10. 2013 úvodní

Více

SDRAM (synchronní DRAM) Cíl přednášky:

SDRAM (synchronní DRAM) Cíl přednášky: SDRAM (synchronní DRAM) Cíl přednášky: Shrnout předcházející techniky řízení pamětí. Prezentovat techniku SDRAM, postihnout její výrazné rysy a odlišnosti od předcházejících typů. Shrnout získané informace.

Více

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251

evodníky Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 Univerzita Tomáše Bati ve Zlíně Ústav elektrotechniky a měření A/D a D/A převodnp evodníky Přednáška č. 14 Milan Adámek adamek@fai.utb.cz U5 A711 +420576035251 A/D a D/A převodníky 1 Důvody převodu signálů

Více

Procesory pro vestavné aplikace přehled, bloky

Procesory pro vestavné aplikace přehled, bloky Procesory pro vestavné aplikace přehled, bloky v. 2014 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2014, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Procesor pro vestavné aplikace- mikrořadič, struktura

Více

Paměti a jejich organizace

Paměti a jejich organizace Kapitola 5 Paměti a jejich organizace 5.1 Vnitřní a vnější paměti, vlastnosti jednotlivých typů Vnější paměti Jsou umístěny mimo základní jednotku. Lze je zařadit mezi periferní zařízení. Zápis a čtení

Více

Paměti v PC - souhrn

Paměti v PC - souhrn Paměti v PC - souhrn V současném PC se vyskytuje podstatně více různých typů pamětí hierarchicky uspořádaných než v prvních typech. Zvýšila se kapacita pamětí, získávání dat z pamětí o velké kapacitě je

Více

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení

zení Koncepce připojení V/V zařízení POT POT ... V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče Připojení periferních zařízení Připojení periferních zařízen zení 1 Koncepce připojení V/V zařízení V/V zařízení jsou připojena na sběrnici pomocí řadičů. Řadiče specializované (řadič disku) lze k nim připojit jen zařízení určitého

Více

PROCESOR. Typy procesorů

PROCESOR. Typy procesorů PROCESOR Procesor je ústřední výkonnou jednotkou počítače, která čte z paměti instrukce a na jejich základě vykonává program. Primárním úkolem procesoru je řídit činnost ostatních částí počítače včetně

Více

Procesory pro vestavné aplikace přehled, bloky

Procesory pro vestavné aplikace přehled, bloky Procesory pro vestavné aplikace přehled, bloky v. 2015 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2015, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Procesor pro vestavné aplikace- mikrořadič, struktura

Více

Pavel Valášek, Roman Loskot Polovodièové pamìti Kniha známých autorù pokrývá celou oblast polovodièových pamìtí soudobých mikropoèítaèù a mikrokontrolérù Seznamuje se základními architekturami pamìtí,

Více

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje

ŘÍDÍCÍ ČLEN GCD 411. univerzální procesorový člen pro mikropočítačové systémy. charakteristika. technické údaje ŘÍDÍCÍ ČLEN GCD 411 univerzální procesorový člen pro mikropočítačové systémy mikroprocesor PCF80C552 programová paměť 64kB FLASH PROM datová paměť 32kB SRAM nebo zálohovaná s RTC sériový kanál RS485 sběrnice

Více

FREESCALE KOMUNIKAČNÍ PROCESORY

FREESCALE KOMUNIKAČNÍ PROCESORY FREESCALE KOMUNIKAČNÍ PROCESORY 1 Trocha historie: Freescale Semiconductor, Inc. byla založena v roce 2004 v Austinu v Texasu jako samostatná společnost, jelikož po více jak 50 byla součástí Motoroly.

Více

Paměti SDRAM (synchronní DRAM)

Paměti SDRAM (synchronní DRAM) Paměti SDRAM (synchronní DRAM) 1 Paměti SDRAM Cíl přednášky: - Shrnout předcházející techniky řízení pamětí. - Prezentovat techniku SDRAM, postihnout její výrazné rysy a odlišnosti od předcházejících typů.

Více

Paměti SDRAM (synchronní DRAM)

Paměti SDRAM (synchronní DRAM) Paměti SDRAM (synchronní DRAM) 1 Paměti SDRAM Cíl přednášky: - Shrnout předcházející techniky řízení pamětí. - Prezentovat techniku SDRAM, postihnout její výrazné rysy a odlišnosti od předcházejících typů.

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT Mgr. Petr

Více

požadovan adované velikosti a vlastností Interpretace adresy POT POT

požadovan adované velikosti a vlastností Interpretace adresy POT POT požadovan adované velikosti a vlastností K.D. - přednášky 1 Interpretace adresy Ve kterémkoliv místě lze adresu rozdělit na číslo bloku a offset uvnitř bloku. Velikost bloku je dána délkou příslušné části

Více

Paměti personálních počítačů, vývoj pojmů, technologie, organizace

Paměti personálních počítačů, vývoj pojmů, technologie, organizace Paměti personálních počítačů, vývoj pojmů, technologie, organizace 1 Cíl přednášky Popsat architektury vnitřních pamětí personálních počítačů. Zabývat se vývojem pojmů, technologií, organizací. Vyvodit

Více

Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

např. osvícením ultrafialovým světlem; prakticky se muselo místo pro smazání zalepovat, pokud nálepka odpadla, tak se data mohly smazat

např. osvícením ultrafialovým světlem; prakticky se muselo místo pro smazání zalepovat, pokud nálepka odpadla, tak se data mohly smazat Principy stránka 1 25. listopadu 2008 11:20 Parametry paměti Kapacita Objem informace, který je možno uchovat v jedné paměťové jednotce (obvykle ve slovech nebo bytech) kde víme kolik bitů je jedno slovo

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architektura počítačů Paměti počítače DMA přenos České vysoké učení technické Fakulta elektrotechnická Ver.1.20 J. Zděnek 20131 Paměť počítače Paměť počítače je zařízení pro uchování programu

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEIII Paměti konstant

ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ. MEIII Paměti konstant Projekt: ODBORNÝ VÝCVIK VE 3. TISÍCILETÍ Téma: MEIII - 1.5 Paměti konstant Obor: Mechanik elektronik Ročník: 3. Zpracoval(a): Jiří Kolář Střední průmyslová škola Uherský Brod, 2010 Projekt je spolufinancován

Více

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/

Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/ Střední odborná škola elektrotechnická, Centrum odborné přípravy Zvolenovská 537, Hluboká nad Vltavou Využití ICT pro rozvoj klíčových kompetencí CZ.1.07/1.5.00/34.0448 CZ.1.07/1.5.00/34.0448 1 Číslo projektu

Více

PROGRAMÁTOR "WinProg-1" Návod k obsluze

PROGRAMÁTOR WinProg-1 Návod k obsluze PROGRAMÁTOR "WinProg-1" Návod k obsluze 1 1.Úvod. Programátor "WinProg-1" slouží k programování 8-bitových mikrořadičů Winbond řady W78... i W77... (s vyjímkou obvodů typu ISP). Programátor "WinProg-1",

Více

Řádkové snímače CCD. zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer

Řádkové snímače CCD. zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer Řádkové snímače CCD v. 2011 Materiál je určen pouze jako pomocný materiál pro studenty zapsané v předmětu: Videometrie a bezdotykové měření, ČVUT- FEL, katedra měření, přednášející Jan Fischer Jan Fischer,

Více

Trocha historie. Jednotlivé komponenty

Trocha historie. Jednotlivé komponenty PC Trocha historie První elektrický počítač vznikl v USA. Jmenoval se ENIAC a vymysleli jej vědci na Pensylvánské univerzitě v letech 1945 1946. V obrovské hale postavili stroj, složený z 19 000 elektronek,

Více

Paměti. Návrh počítačových systémů INP 2008

Paměti. Návrh počítačových systémů INP 2008 Paměti Návrh počítačových systémů INP 2008 1 Paměťové prvky v reálném počítači Paměť mikroprogramu Reg 2 Proč paměťová hierarchie? chceme maximalizovat výkonnost počítače (tj. poměr výkon/cena) potřeba

Více

Optoelektrické senzory, obrazové senzory CMOS pro vestavné systémy

Optoelektrické senzory, obrazové senzory CMOS pro vestavné systémy Optoelektrické senzory, obrazové senzory CMOS pro vestavné systémy Materiál je určen pouze jako pomocný materiál pro studenty zapsané v předmětu: A4M38AVS ČVUT- FEL, katedra měření, přednášející Jan Fischer

Více

Hardware počítačů. Architektura počítačů Paměti počítačů Aritmetika - ALU Řadič

Hardware počítačů. Architektura počítačů Paměti počítačů Aritmetika - ALU Řadič Hardware počítačů Architektura počítačů Paměti počítačů Aritmetika - ALU Řadič 5. Paměťový systém počítače Paměť je důležitou součástí počítače, procesor si s ní neustále vyměňuje data. vnitřní paměť =

Více

L A B O R A T O R N Í C V I Č E N Í

L A B O R A T O R N Í C V I Č E N Í Univerzita Pardubice Ústav elektrotechniky a informatiky Pardubice, Studentská 95 L A B O R A T O R N Í C V I Č E N Í Příjmení Paar Číslo úlohy: 2 Jméno: Jiří Datum měření: 15. 5. 2007 Školní rok: 2006

Více

Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1

Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1 Přednáška - A3B38MMP Procesory s jádrem ARM. A3B38MMP 2015, J. Fischer, kat. měření, ČVUT-FEL Praha 1 ARM - historie ARM - RISC procesory (původ britská firma Acorn, procesory - stolní počítače později

Více

Přednáška 4, 5 a část 6 A4B38NVS Návrh vestavěných systémů 2014 katedra měření, ČVUT - FEL, Praha. J. Fischer

Přednáška 4, 5 a část 6 A4B38NVS Návrh vestavěných systémů 2014 katedra měření, ČVUT - FEL, Praha. J. Fischer Přednáška 4, 5 a část 6 A4B38NVS Návrh vestavěných systémů 2014 katedra měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL 1 Informace Toto je grafický a heslovitý

Více

Procesory pro vestavné aplikace přehled

Procesory pro vestavné aplikace přehled Procesory pro vestavné aplikace přehled v. 2013 A4M38AVS ČVUT- FEL, katedra měření, A4M38AVS, 2013, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Mikroprocesory pro vestavné aplikace rysy Široké spektrum

Více

Vážení zákazníci, dovolujeme si Vás upozornit, že na tuto ukázku knihy se vztahují autorská práva, tzv. copyright. To znamená, že ukázka má sloužit výhradnì pro osobní potøebu potenciálního kupujícího

Více

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha. J. Fischer

Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha. J. Fischer Rozhraní mikrořadiče, SPI, IIC bus,.. Přednáška 11 (12) A4B38NVS, kat. měření, ČVUT - FEL, Praha J. Fischer A4B38NVS, 2014, J.Fischer, kat. měření, ČVUT - FEL, Praha 1 Náplň přednášky Sériová rozhraní

Více

V roce 1955 fungovala feritová pamět na pricipu zmagnetizovaných feritových jader.

V roce 1955 fungovala feritová pamět na pricipu zmagnetizovaných feritových jader. Paměti počítačů Souhrn nejpouživanějších technologií 1 1 Paměti počítačů 1.1 Základní historický přehled V roce 1955 fungovala feritová pamět na pricipu zmagnetizovaných feritových jader. V bubnových pamětech

Více

Architektura počítačů

Architektura počítačů Architektura počítačů Historie První počítače v dnešním slova smyslu se začaly objevovat v průběhu 2. světové války a těsně po ní. Největší vliv na utváření představ, jak by počítače měly být konstruovány,

Více

PAMĚŤOVÝ SUBSYSTÉM. Principy počítačů I. Literatura. Parametry paměti. Parametry paměti. Dělení pamětí podle funkce. Kritéria dělení pamětí

PAMĚŤOVÝ SUBSYSTÉM. Principy počítačů I. Literatura. Parametry paměti. Parametry paměti. Dělení pamětí podle funkce. Kritéria dělení pamětí Principy počítačů I PAMĚŤOVÝ SUBSYSTÉM Literatura http://www.tomshardware.com http://www.play-hookey.com/digital/ 6 kb ought to be enough for anybody. Bill Gates, 98 Parametry paměti kapacita objem informace,

Více

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03)

PK Design. MB-S2-150-PQ208 v1.4. Základová deska modulárního vývojového systému MVS. Verze dokumentu 1.0 (11. 6. 03) MB-S2-150-PQ208 v1.4 Základová deska modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (11. 6. 03) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti základové desky...4 2.2 Vlastnosti

Více

MIDAM MW 240 modbus 2 x DI, 2 x DO

MIDAM MW 240 modbus 2 x DI, 2 x DO List č.: 1/8 MIDAM MW 240 modbus 2 x DI, 2 x DO - najednou lze vyčíst maximálně 20 wordů (tj. 40byte) název adresa typ popis poznámka modul LSB 1 LSB R identifikace modulu spodní byte modul má identifikaci

Více

Základní deska (mainboard, motherboard)

Základní deska (mainboard, motherboard) Základní deska (mainboard, motherboard) Hlavním účelem základní desky je propojit jednotlivé součástky počítače do fungujícího celku a integrovaným součástem na základní desce poskytnout elektrické napájení.

Více

Sběrnicová struktura PC Interní počítačové paměti PC

Sběrnicová struktura PC Interní počítačové paměti PC Technické prostředky počítačové techniky Obsah: Sběrnicová struktura PC Interní počítačové paměti PC ROM RAM Paměti typu CACHE IS2-4 1 Dnešní info: Informatika 2 04 Zemřel otec e-mailu Aplikace Záchranka

Více

Adresový vodič. Datový vodič 30/12/2010 4

Adresový vodič. Datový vodič 30/12/2010 4 30/12/2010 1 Paměti EEPROM EEPROM - Electrically EPROM Mají podobné chování jako paměti EPROM, tj. jedná se o statické, energeticky nezávislé paměti, které je možné naprogramovat a poz- ději z nich informace

Více