Typické postupy při práci s obvody PLD a FPGA

Rozměr: px
Začít zobrazení ze stránky:

Download "Typické postupy při práci s obvody PLD a FPGA"

Transkript

1 Typické postupy při práci s obvody PLD a FPGA Doc. Ing. Jaromír Kolouch, CSc.

2 Obsah Jak se můžeme seznámit se základními způsoby práce se systémem... 3 Jak se doporučuje zapisovat kód HDL, aby byl dobře syntetizovatelný... 3 Postupy při simulaci...3 Nastavení doby simulace... 3 Jak se simulací zjistí chování čítačů a stavových automatů v nepracovních stavech... 3 Přidání vnitřních signálů do okna Wave simulátoru... 3 Postupy při syntéze a implementaci...4 Jak se definují atributy signálů na vývodech pouzdra... 4 Jak se definuje počáteční stav registrových signálů po připojení napájecího napětí... 5 Jak se zjistí časové parametry vytvořené konstrukce... 6 Jak se definují vstupy a výstupy s diferenčními signály... 6 Použití bloku DCM... 7 Implementace pamětí RAM, ROM... 7 Postupy při programování...7 Programování obvodů CPLD... 7 Programování (konfigurace) obvodů FPGA... 8 Literatura...8 V tomto textu jsou uvedeny některé postupy, které se v laboratořích Ústavu radioelektroniky při práci s návrhovým systémem ISE firmy Xilinx a s programovatelnými obvody této firmy nejčastěji používají. Tyto postupy se týkají práce s uvedeným návrhovým systémem, s obvody CPLD a FPGA firmy Xilinx a se simulátorem ModelSim, pokud není uvedeno jinak. 2

3 Jak se můžeme seznámit se základními způsoby práce se systémem Na www stránkách firmy Xilinx bývají ke každé verzi systému ISE dokumenty popisující vzorovou konstrukci v tomto systému a příslušné postupy při jejím zpracování. Pro systém ISE verze 7 jsou to dokumenty [ 5 ], [ 6 ]. Jak se doporučuje zapisovat kód HDL, aby byl dobře syntetizovatelný Doporučení pro zápis kódu u systému ISE jsou uvedena v literatuře [ 4 ]. V ní jsou také další obecná doporučení pro použití jazyků HDL i pro další práci s tímto systémem. Šablony pro popis nejpoužívanějších subsystémů a jazykových konstruktů je možno najít přímo v systému: Edit => Language Templates... Postupy při simulaci Nastavení doby simulace Při simulaci spuštěné z okna Processes for Source (v okně Sources in Project je zvýrazněna položka benče) je v ISE automaticky nastavena doba simulace 1 us. Pokud používáme benč, který obsahuje příkazy pro ukončení simulace, je potřebné v ISE nastavit dobu delší, případně neohraničenou. To se provede klepnutím pravým tlačítkem myši na položku simulace v okně Processes for Source, zde se vybere položka Properties... a v řádku Simulation Run time se zadá požadovaná doba, případně -all pro neohraničenou dobu simulace. Jak se simulací zjistí chování čítačů a stavových automatů v nepracovních stavech První možnost: Do konstrukce se přidá vstup pro asynchronní naplnění stavového registru a odpovídající datové vstupy. Tím je možno vyvolat přechod do libovolně zvoleného počátečního stavu, a další chování se zjistí běžným postupem simulace behaviorálního modelu. Druhá možnost: Počáteční stav se definuje prostředky, které umožňuje použitý návrhový systém. Například u systému ISE je možno počáteční stav definovat v deklaraci registrových signálů v popisu jazykem VHDL (viz část Postupy při syntéze a implementaci). Tato definice počátečního stavu se při simulaci behaviorálního modelu akceptuje. Přidání vnitřních signálů do okna Wave simulátoru Předpokládá se, že byl vytvořen benč některým ze standardních postupů, například pomocí HDL Bencheru (grafické rozhraní spuštěné z Project => New Source... => Test Bench Waveform), kde se automaticky zobrazují signály představující brány (porty) simulované entity. Potřebujeme zobrazit další (vnitřní) signály v konstrukci. V okně Structure simulátoru zvýrazníme položku uut (unit under test), čímž se v okně Signals objeví signály v simulované konstrukci. Myší přetáhneme potřebné signály do okna Wave. Tam se ale neobjeví jejich průběhy, protože simulátor během simulace zaznamenává jen hodnoty těch signálů, které jsou v okně Wave 3

4 zadány. Musíme tedy provést novou simulaci. V okně Wave klepneme na tlačítko Restart a pak spustíme simulaci (obsahuje-li benč příkazy pro ukončení simulace, použijeme tlačítko Run all). Máme-li v úmyslu změnit zdrojový text nebo přidat další vektory a pak provést znovu simulaci s nezměněným oknem Wave, můžeme formát tohoto okna před zavřením simulátoru uložit (File => Save Format...). Nabídne se tím vytvoření souboru Wave.do, formát však uložíme pod označením *.udo, kde * je název souboru, v němž je benč nejsnadněji se to udělá tak, že v okně pro uložení vybereme v menu okénka Uložit jako typ všechny soubory (*.*) a v nabídce vyhledáme název *.udo * je nyní hledané označení, čímž se dosud prázdný soubor typu udo přepíše novým s údaji o formátu). Pozor na to, že někdy okno pro zápis souboru *.udo nabídne jiný adresář než adresář projektu! Při další simulaci se již v okně Wave objeví okno v uspořádání odpovídajícím uloženému uspořádání, kde je k signálům původně zobrazeným přidána skupina složená z přidaných signálů a kopie původně zobrazených signálů (ty je pak vhodné z okna vymazat). Postupy při syntéze a implementaci Jak se definují atributy signálů na vývodech pouzdra Jde o atributy jako přiřazení signálů vývodům, I/O standard, zatížitelnost výstupů (Drive Strength), zakončení (Termination), rychlost přeběhu (Slew), vstupní zpoždění (Delay), případně další (nebo jen některé z uvedených) podle typu cílového obvodu. Přehled atributů je uveden v literatuře [ 1 ]. Obecně jsou nejlepší zkušenosti s automatickým generováním souboru ucf (např. blokem PACE a podobně), jiné možnosti jsou občas problematické z hlediska syntaktické správnosti (chyby či nejasnosti se někdy vyskytují i ve výše uvedené literatuře). Výhodné je také, že se při automatickém generování obvykle nabízejí k výběru možnosti odpovídající zvolenému typu cílového obvodu. Definice v souboru ucf ISE v. 7: Nejpohodlnější možnost je použití programového bloku PACE (Pinout and Area Constraint Editor) Processes for Source => User Constraints => Assign Package Pins. Signály se z okna Design Object List I/O Pins myší přetáhnou na příslušné pozice v okně Package Pins for... (typ cílového obvodu), záložka Package View. V okně Design Object List se po přetažení objeví příslušné číslo vývodu. Dále je pak možno klepnutím na příslušné okénku u signálu vybrat z nabídky další výše uvedené atributy. Přitom se automaticky vytváří (edituje) příslušný soubor ucf. Automatické generování zajišťuje jeho syntaktickou správnost. Přímá editace souboru ucf textovým editorem je možno v tomto souboru zadat různé požadavky omezení (constraints) podle syntaxe uvedené v literatuře [ 1 ]. Například pro přiřazení signálů vývodům se používá příkaz LOC ISE v. 7 viz šablonu, Edit => Language Templates... => UCF => CPLD FPGA => Placement => LOC (Pin Assignment). Soubor ucf je možno vytvořit vnějším textovým editorem nebo otevřít v editoru ISE: Processes for Source => User Constraints => Edit Constraints (Text). 4

5 Použití atributů v popisu VHDL syntaxe je uvedena v [ 1 ]. Příklad pro přiřazení signálů vývodům: ATTRIBUTE loc: string; ATTRIBUTE loc OF Rst: SIGNAL IS "P15"; -- prirazeni signalu na vyvod 15 ATTRIBUTE loc OF CntPin: SIGNAL IS "P21 P22 P23"; -- prirazeni vektoru Atributy se uvádějí v deklarativním úseku popisu architektury. Signály mohou být v principu přiřazeny vývodům pouzdra libovolně (pokud samozřejmě respektujeme skutečnost, že některé vývody mají zvláštní určení, například napájecí, zemnicí, konfigurační apod. vývody). Pokud však chceme, aby toto přiřazení nezpůsobilo zhoršení kvality výsledku implementace (snížení rychlosti, zvýšení spotřeby strukturních prvků a podobně), je vhodné při výběru vhodného přiřazení dodržovat určitá pravidla viz například [ 8 ]. V literatuře se doporučuje předepisovat toto přiřazení jen tehdy, je-li to skutečně potřebné. Pokud se přiřazení nepředepíše, může návrhový systém přiřazení automaticky optimalizovat. Jeli to možné, je vhodné aspoň při první implementaci ponechat systému tuto možnost a dále přiřazení měnit jen v případě nezbytnosti. Jak se definuje počáteční stav registrových signálů po připojení napájecího napětí Počáteční stav je možno definovat u registrových signálů, tj. signálů, kterým jsou jejich hodnoty přiřazeny způsobem, který představuje registr řízený hranou nebo latch. Registrovými signály nejsou například vnější signály deklarované s módem OUT v deklaraci entity, kterým jsou hodnoty registrových signálů přiřazeny v popisu architektury prostým přiřazovacím příkazem (obvyklé například u čítačů). Počáteční hodnotu těchto signálů můžeme zajistit definicí počátečního stavu signálů na výstupu příslušného registru, které jsou deklarovány jako vnitřní signály. Definice v souboru ucf ISE v. 7: Používá se k tomu příkaz INIT viz šablonu v ISE (Edit => Language Templates... => UCF => CPLD => MISC => INIT, nebo Edit => Language Templates... => UCF => FPGA => Initialization atd.) Definice v popisu VHDL: ISE v. 6 a vyšší akceptuje definici počátečního stavu v příkazu SIGNAL například: SIGNAL Cnt: std_logic_vector(2 DOWNTO 0) := "011"; Je také možno použít atribut - například: ATTRIBUTE init: string; ATTRIBUTE init OF Cnt: SIGNAL IS "000"; Atributy se uvádějí v deklarativním úseku popisu architektury. Počáteční stav po připojení napájecího napětí je možno definovat u všech paměťových prvků, tedy například i u distribuovaných nebo blokových pamětí RAM obsažených v obvodech FPGA. Způsob inicializace těchto pamětí je uveden například v [ 3 ]. V systému ISE v. 7 můžeme počáteční hodnoty zadat také spuštěním bloku Xilinx Constraints Editor (spuštění např.: User Constraints => Create Timing Constraints), v němž zvolíme záložku Misc a klepneme na některou z položek, které jsou nadepsány INIT Values for. 5

6 Jak se zjistí časové parametry vytvořené konstrukce Mezi nejdůležitější časové parametry patří nejvyšší kmitočet hodinových signálů, zpoždění mezi změnou vstupních signálů a ustálením výstupních signálů a podobné údaje. Popíšeme, jak se zjistí uvedený kmitočet statickou časovou analýzou. U dalších parametrů se postupuje analogicky. Údaje o kmitočtu se vyskytují na různých místech výpisů generovaných při zpracování popisu. Obecně platí pravidlo: Čím větší je stupeň zpracování konstrukce, tím více se tyto údaje blíží skutečným parametrům naprogramovaného cílového obvodu. U obvodů FPGA dává nejpřesnější informace statická časová analýza provedená po etapě Place and Route: Implement Design => Place & Route => Generate Post-Place & Route Static Timing => Text-Based Post-Place&Route Static Timing Report. Aby se v tomto výpisu objevila informace o kmitočtu, musíme dát systému před syntézou na vědomí, že nás tato informace zajímá. To můžeme učinit v ISE v. 7 zadáním nějakého (snadno splnitelného) požadavku na tento kmitočet: v okně Sources in Project vybereme vrcholovou jednotku, v okně Processes for Source pokračujeme volbami User Constraints => Create Timing Constraints. Tím se spustí blok Xilinx Constraints Editor. Zvolíme záložku Global, na níž je již uvedeno označení hodinového signálu použitého v konstrukci (samozřejmě pokud je použit). V okénku Period zadáme např. 100 a pak klikneme např. do okénka Pad to Setup, čímž se automaticky v okénku Period doplní jednotky (ns) a střída 50%. V okně Constraints můžeme sledovat, co se přitom generuje v souboru *.ucf. Po uložení se při statické časové analýze vytvoří údaj o nejvyšším přípustném kmitočtu, který bude zobrazen v uvedeném výpisu. Jak se definují vstupy a výstupy s diferenčními signály Chceme-li použít u vstupních a výstupních signálů diferenční standard, musíme zajistit převod mezi vnitřním nesymetrickým signálem a vnějším signálem diferenčním. K tomu se používají speciální bufery, které je do konstrukce možno vložit jako komponenty. Odpovídající primitivy jsou obsaženy ve sloze VComponents, která je v ISE dostupná v knihovně UNISIM (na knihovnu i na slohu je nutno uvést v popisu konstrukce odkaz). Označení a šablonu pro vložení najdeme například v [ 2 ]. Hledáme-li například vstupní bufer pro řadu Spartan-3, najdeme zde všechny primitivy a makra pod záložkou Architecture Specific Information => Spartan-3. V seznamu vyhledáme položku IBUFDS (pro hodinové signály IBUFGDS) a poklepem na ni otevřeme příslušnou stránku manuálu. Zde se můžeme přesvědčit, zda je příslušný standard dostupný pro zamýšlený cílový obvod, a v kladném případě najdeme odpovídající šablonu pro vložení (VHDL Instantiation Template). Z této šablony je zřejmé, že musíme mít dva vstupní vývody, jejichž signály (předpokládejme, že jde o hodinový signál) můžeme označit například ClkA a ClkB (budou to obě větve diferenčního signálu). Ty připojíme ke vstupním signálům deklarovaným ve vložené komponentě buferu. Výstup buferu pak bude představovat hodinový signál pro buzení vstupů registrů v konstrukci, který můžeme označit například Clk (nutno deklarovat v popisu architektury). Bufer musíme vložit do konstrukce strukturálním stylem, tj. v deklarativní části popisu architektury bude deklarace komponenty IBUFGDS (ze šablony v LIB.pdf). Označení vstupních a výstupních signálů v deklaraci komponenty neměníme, odpovídá jejich označení ve sloze VComponents. V příkazové části bude její vložení (opět podle šablony), kde signály user_o, user_i a user_ib budou představovat skutečné signály v naší konstrukci. Pro výstupní signály je postup obdobný, primitiva má označení OBUFDS. 6

7 Použití bloku DCM Blok DCM se v systému ISE vkládá nejsnadněji pomocí průvodce: Project => New Source... => IP (CoreGen & Architecture Wizard). Otevře se okno, kde je potřebné pojmenovat vytvářenou komponentu lze použít např. název DCM1 (nedoporučuje se samotné DCM, je to rezervovaný symbol). Další postup závisí na účelu, pro který má být DCM použit. Průvodce nabízí volby, které uživateli napovídají, je však v mnoha případech potřebné o nich vědět více pro obvody rodiny Spartan-3 je velmi dobrou pomůckou aplikační zpráva [ 7 ], pro obvody řady Virtex-II, Virtex-II Pro a další jsou podobné informace uvedeny v příslušných uživatelských příručkách (User Guides, dostupné na ). Doporučuje se přečíst si ze zprávy aspoň to, co se vztahuje k zamýšlenému použití bloku DCM, nevhodná volba může vést k nespolehlivé funkci. Pro vložení komponenty DCM1 do popisu konstrukce můžeme použít šablonu v okně Processes for Source DCM1 => View HDL Instantiation Template. Blok DCM se obvykle používá ve vrcholové jednotce. Pokud je tato tvořena schématem, musíme pro jeho vložení mít k dispozici schématický symbol (Create Schematic Symbol). Přehled zvolených parametrů můžeme vidět, vygenerujeme-li popis bloku v jazyku VHDL: Processes for Source: DCM1 => View HDL Source. Tento text však nemusíme do konstrukce přidávat, DCM je zde reprezentován položkou xaw v okně Sources in Project. Při standardním použití bloku DCM pro zpracování hodinového signálu zavedeného do FPGA z vnějšího vývodu není pak tento signál přímo dostupný pro použití v dalších prvcích konstrukce. Místo něj je možno použít výstup CLKIN_IBUFG_OUT, který jej reprodukuje. Tato skutečnost není v literatuře běžně uváděna (aspoň v době psaní tohoto textu) a bývá často příčinou obtíží. Implementace pamětí RAM, ROM Tyto paměti se v obvodech FPGA implementují jako distribuované nebo blokové. Paměť ROM lze implementovat stejně jako paměť RAM, pouze se musí definovat její počáteční obsah (viz výše) a dále se do ní nezapisuje. Je několik způsobů, jak paměti můžeme vložit do konstrukce. Patří mezi ně inference z behaviorálního popisu a vložení pomocí CORE Generatoru. Šablony pro behaviorální popis v jednoduchých případech lze najít přímo v ISE: Edit => Language Templates => VHDL => Synthesis Templates => RAM. Podrobný popis mnoha variant vložených pamětí je uveden např. v [ 3 ], mnoho dalších příkladů lze najít v [ 9 ]. Použití CORE Generatoru dovolí využít ještě dalších možností, které jinak nejsou dostupné. Postupy při programování Programování obvodů CPLD Pro naprogramování obvodů CPLD je potřebné vytvořit programovací soubor *.JED (tzv. soubor JEDEC) obsahující popis požadovaného stavu propojek v naprogramovaném cílovém obvodu. Tento soubor se získá provedením procesu Implement Design => Generate Programming File. Při programování v systému paralelním kabelem nebo kabelem USB (předpokládá se, že je kabel připojen k PC a zapojen do programovacího konektoru a že je 7

8 zapojeno napájecí napětí programovaného obvodu) se dále pokračuje procesem Configure Device (Impact), kde se zvolí Boundary-Scan Mode a dále se pokračuje podle nápovědy. Obvody CPLD firmy Xilinx jsou nevolatilní, naprogramovaný obvod má podle údajů v katalogu udržet svou funkci mnoho let. Programování (konfigurace) obvodů FPGA Obvody FPGA firmy Xilinx jsou volatilní. Naprogramovat můžeme buď samotný obvod FPGA (místo termínu programování se zde obvykle používá termín konfigurace) s tím, že se tato konfigurace musí vykonat znovu po každém připojení napájecího napětí, nebo můžeme naprogramovat nevolatilní konfigurační paměť, je-li na desce osazena, a z té se po připojení napájecího napětí konfigurační soubor vždy automaticky přetáhne do připojeného FPGA. Obvyklejší je druhá z těchto možností, kterou budeme dále uvažovat. Poklepáním na položku Generate PROM, ACE, or JTAG File se spustí programovací blok impact v módu generování programovacích souborů. Potřebujeme vytvořit soubor *.mcs pro naprogramování konfigurační paměti, tedy z nabídky, která se objeví, vybereme PROM File a dále druh paměti a formát MCS. Zvolíme název a umístění programovacího souboru, který má být vygenerován, v následujícím okně typové označení paměti a klepneme na tlačítko Add. Vygenerování programovacího souboru *.mcs pak dokončíme podle nápovědy systému. Nyní můžeme spustit nové okno bloku impact poklepáním na položku procesu Configure Device (Impact) nebo pokračovat v dosavadním okně tím, že je přepneme do konfiguračního módu. Navolíme zde vygenerovaný soubor *.mcs a pak pokračujeme podle nápovědy. Literatura [ 1 ] Constraints Guide (cgd.pdf). Dokumentace k návrhovému systému ISE, dostupné na [ 2 ] Libraries Guide (lib.pdf). Dokumentace k návrhovému systému ISE, dostupné na [ 3 ] XST User Guide (xst.pdf). Dokumentace k návrhovému systému ISE, dostupné na [ 4 ] Synthesis and Verification Design Guide (sim.pdf). Dokumentace k návrhovému systému ISE, dostupné na [ 5 ] ISE Quick Start Tutorial (qst.pdf). Dokumentace k návrhovému systému ISE, dostupné na [ 6 ] ISE 7 In-Depth Tutorial ( [ 7 ] Using Digital Clock Managers (DCMs) in Spartan-3 FPGAs. Aplikační zpráva XAPP462, Xilinx, dostupné na [ 8 ] Creating Pin-Out Prior to Implementation with PACE. Aplikační zpráva XAPP423, Xilinx, dostupné na [ 9 ] Using Block RAM in Spartan -3 FPGAs. Aplikační zpráva XAPP463, Xilinx, dostupné na Poznámka: Uvedené dokumenty k návrhovému systému ISE v. 7 jsou dostupné na: 8

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 12 BDOM 12.3.2011 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí...3 2 Otevření projektu...3 3 Tvorba elektrického schématu...6 4 Přiřazení

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i

Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i Práce v návrhovém prostředí Xilinx ISE WebPack 9.2i 1 Spuštění návrhového prostředí Spusťte návrhové prostředí Xilinx ISE 9.2 pomoci ikony na ploše Xilinx ISE 9.2. 2 Otevření projektu a. Klikněte na položku

Více

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu

Popis programu: Popis přípon důležitých souborů: *.qpf projektový soubor Quartusu Software Quartus II Popis programu: Quartus II Web Edition je označení bezplatného software, s jehož pomocí lze napsat, zkompilovat, odsimulovat a naprogramovat FPGA a CPLD obvody firmy Altera. Cílem tohoto

Více

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel

Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM UMEL FEKT Šteffan Pavel Práce v návrhovém prostředí Xilinx ISE WebPack 10.1 BDOM 17.3.2009 UMEL FEKT Šteffan Pavel Obsah 1 Spuštění návrhového prostředí... 3 2 Otevření projektu... 3 3 Tvorba elektrického schématu... 6 4 Přiřazení

Více

Tlačítka. Konektor programování

Tlačítka. Konektor programování Programovatelné logické pole Programovatelné logické pole jsou široce využívanou a efektivní cestou pro realizaci rozsáhlých kombinačních a sekvenčních logických obvodů. Jejich hlavní výhodou je vysoký

Více

Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2

Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2 Vytvoření nového projektu ve vývojovém prostředí Quartus II Version 9.1 Servise Pack 2 Nový projekt vytvoříme volbou New Project Wizard: Introduction z menu File, po které se objeví úvodní okno (obr. 1).

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Příloha 6. Palety nástrojů

Příloha 6. Palety nástrojů Příloha 6. Palety nástrojů Palety nástrojů v IDE poskytují zkrácení pro příkazy nabídky. Příkazy jsou rozděleny do několika palet nástrojů, které mohou být nezávisle přeskupeny nebo vloženy do plovoucích

Více

MS Word 2007 Šablony programu MS Word

MS Word 2007 Šablony programu MS Word MS Word 2007 Šablony programu MS Word Obsah kapitoly V této kapitole se seznámíme s: Možností využití šablon při vytváření nových dokumentů Vytvářením vlastních šablon Studijní cíle Po absolvování této

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License

Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Vytvoření projektu pro desku DE2 v Altera Quartus Richard Šusta, verze 1.0 ze dne 10. září 2014, publikováno pod GNU Free Documentation License Obsah Vytvoření projektu pro desku DE2 v Altera Quartus...

Více

PROGRAMOVATELNÉ AUTOMATY FATEK

PROGRAMOVATELNÉ AUTOMATY FATEK PROGRAMOVATELNÉ AUTOMATY FATEK NÁVOD PRO INSTALACI A PRVNÍ SPUŠTĚNÍ Obsah: 1. Připojení automatu přes port RS232 2. Připojení automatu přes port USB 3. Připojení automatu přes Ethernet Připojení automatu

Více

MIDAM Simulátor Verze 1.5

MIDAM Simulátor Verze 1.5 MIDAM Simulátor Verze 1.5 Simuluje základní komunikační funkce modulů Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600. Umožňuje změny konfigurace, načítání

Více

MS SQL Server 2008 Management Studio Tutoriál

MS SQL Server 2008 Management Studio Tutoriál MS SQL Server 2008 Management Studio Tutoriál Vytvoření databáze Při otevření management studia a připojením se ke konkrétnímu sql serveru mám v levé části panel s názvem Object Explorer. V tomto panelu

Více

Neřízené usměrňovače reálné vlastnosti

Neřízené usměrňovače reálné vlastnosti Počítačové cvičení BNEZ 1 Neřízené usměrňovače reálné vlastnosti Úkol 1: Úkol 2: Úkol 3: Úkol 4: Úkol 5: Pomocí programu OrCAD Capture zobrazte voltampérovou charakteristiku diody 1N4007 pro rozsah napětí

Více

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1.

Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM. Manuál pro administrátory. Verze 1. Tento projekt je spolufinancován Evropským sociálním fondem a státním rozpočtem České republiky. PORTÁL KUDY KAM Manuál pro administrátory Verze 1.0 2012 AutoCont CZ a.s. Veškerá práva vyhrazena. Tento

Více

8.3 Popis dialogových oken

8.3 Popis dialogových oken 8.3 Popis dialogových oken Pro přehled jsou na následující ilustraci 8.1 vyobrazena všechna dialogová okna. Jedná se o nemodální dialogy, proto je lze mít otevřené současně. Pouze dále popisovaný dialog

Více

Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN

Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Vzdálené ovládání dotykového displeje IDEC HG3G pomocí routeru VIPA TM-C VPN Abstrakt Tento aplikační postup je ukázkou jak

Více

Microsoft Office. Word hromadná korespondence

Microsoft Office. Word hromadná korespondence Microsoft Office Word hromadná korespondence Karel Dvořák 2011 Hromadná korespondence Hromadná korespondence je způsob, jak určitý jeden dokument propojit s tabulkou obsahující více záznamů. Tímto propojením

Více

Cvičení 1 Logická hradla

Cvičení 1 Logická hradla Cvičení 1 Logická hradla Prvním příkladem pro seznámení s logickými obvody bude realizace několika hradel. Pomocí tohoto návodu je naprogramujeme do přípravku Digilent Spartan-3 a vyzkoušíme přímo v praxi.

Více

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem.

tohoto systému. Můžeme propojit Mathcad s dalšími aplikacemi, jako je Excel, MATLAB, Axum, nebo dokumenty jedné aplikace navzájem. 83 14. (Pouze u verze Mathcad Professional) je prostředí pro přehlednou integraci a propojování aplikací a zdrojů dat. Umožní vytvořit složitý výpočtový systém a řídit tok dat mezi komponentami tohoto

Více

Stručný postup k použití programu PL7 Junior (programování TSX Micro)

Stručný postup k použití programu PL7 Junior (programování TSX Micro) Stručný postup k použití programu PL7 Junior (programování TSX Micro) 1. Připojení PLC TSX Micro k počítači Kabel, trvale zapojený ke konektoru TER PLC, je nutné zapojit na sériový port PC. 2. Spuštění

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad pro práci v prostředí MPLAB Zadání: Vytvořte program, který v intervalu 200ms točí doleva obsah registru reg, a který při stisku tlačítka RB0 nastaví bit 0 v registru reg na hodnotu 1.

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Microsoft Office. Word vzhled dokumentu

Microsoft Office. Word vzhled dokumentu Microsoft Office Word vzhled dokumentu Karel Dvořák 2011 Práce se stránkou Stránka je jedním ze stavebních kamenů tvořeného dokumentu. Představuje pracovní plochu, na které se vytváří dokument. Samozřejmostí

Více

2 PŘÍKLAD IMPORTU ZATÍŽENÍ Z XML

2 PŘÍKLAD IMPORTU ZATÍŽENÍ Z XML ROZHRANÍ ESA XML Ing. Richard Vondráček SCIA CZ, s. r. o., Thákurova 3, 160 00 Praha 6 www.scia.cz 1 OTEVŘENÝ FORMÁT Jednou z mnoha užitečných vlastností programu ESA PT je podpora otevřeného rozhraní

Více

Simulace v Quartus II 13.0sp1

Simulace v Quartus II 13.0sp1 Simulace v Quartus II 13.0sp1 Richard Šusta, Katedra řídicí techniky ČVUT-FEL v Praze V Quartus II 13.0sp1 postup simulace mnohem jednodušší než v předchozích verzích. Předpokládejme, že máte vytvořený

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Návod ke cvičení předmětu BPGA ControlLogix

Návod ke cvičení předmětu BPGA ControlLogix Návod ke cvičení předmětu BPGA ControlLogix ControlLogix Automat ControlLogix je výrobkem firmy Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce www.rockwellautomation.com.

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Omezení funkcionalit v softwaru STATISTICA

Omezení funkcionalit v softwaru STATISTICA StatSoft Omezení funkcionalit v softwaru STATISTICA Věděli jste, že v softwaru STATISTICA si lze omezit jednotlivé nabídky? Pojďme se nyní podívat na pokročilejší úpravy softwaru, které mohou být v určitých

Více

ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY

ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY ANALYSIS SERVICES PROJEKT VYTVOŘENÍ PROJEKTU A DATOVÉ KOSTKY Spusťte BIDS - z menu vyberte File/New/Project a vytvořte nový Analysis Services Project typu Bussines Inteligence Project - doplňte jméno projektu

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

MANUÁL VÝPOČTOVÉHO SYSTÉMU W2E (WASTE-TO-ENERGY)

MANUÁL VÝPOČTOVÉHO SYSTÉMU W2E (WASTE-TO-ENERGY) MANUÁL VÝPOČTOVÉHO SYSTÉMU W2E (WASTE-TO-ENERGY) 0 1. PRACOVNÍ PLOCHA Uspořádání a vzhled pracovní plochy, se kterým se uživatel během práce může setkat, zobrazuje obr. 1. Obr. 1: Uspořádání pracovní plochy

Více

Uživatelská příručka Autor: Martin Fiala

Uživatelská příručka Autor: Martin Fiala 1 Uživatelská příručka Autor: Martin Fiala Vzhledem k tomu, že navržený program nefunguje samostatně a jedná se pouze o část implementovanou do pluginu BJ2NB vyvíjeného na Vysoké škole ekonomické, je nutné

Více

VComNet uživatelská příručka. VComNet. Uživatelská příručka Úvod. Vlastnosti aplikace. Blokové schéma. «library» MetelCom LAN

VComNet uživatelská příručka. VComNet. Uživatelská příručka Úvod. Vlastnosti aplikace. Blokové schéma. «library» MetelCom LAN VComNet Uživatelská příručka Úvod Aplikace VComNet je určena pro realizaci komunikace aplikací běžících na operačním systému Windows se zařízeními, které jsou připojeny pomocí datové sběrnice RS485 (RS422/RS232)

Více

MS OFFICE POWER POINT 2010

MS OFFICE POWER POINT 2010 MS OFFICE POWER POINT 2010 Program Power Point patří do rodiny programů Microsoft Office a slouží ke tvorbě prezentací. Prezentace je tvořena snímky, které jsou postupně zobrazovány a to buď po nějaké

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

První kroky s METEL IEC IDE

První kroky s METEL IEC IDE První kroky s poskytuje programování v IEC 61131-3 jazycích, podporuje jak grafickou tak textovou podobu. Umožňuje vytvářet, upravovat a ladit IEC 61131-3 (ST, LD, IL, FBD) programy pro řídicí jednotky

Více

Formátování pomocí stylů

Formátování pomocí stylů Styly a šablony Styly, šablony a témata Formátování dokumentu pomocí standardních nástrojů (přímé formátování) (Podokno úloh Zobrazit formátování): textu jsou přiřazeny parametry (font, velikost, barva,

Více

Aplikační profily v PLC Tecomat

Aplikační profily v PLC Tecomat Aplikační profily v PLC Tecomat TXV 003 39.01 první vydání září 2012 změny vyhrazeny 1 TXV 003 39.01 Historie změn Datum Vydání Popis změn Září 2012 1 První vydání OBSAH 1 Úvod...3 2 Kontrola aplikačních

Více

Postupy práce se šablonami IS MPP

Postupy práce se šablonami IS MPP Postupy práce se šablonami IS MPP Modul plánování a přezkoumávání, verze 1.20 vypracovala společnost ASD Software, s.r.o. dokument ze dne 27. 3. 2013, verze 1.01 Postupy práce se šablonami IS MPP Modul

Více

Návod ke cvičení předmětu BPGA SLC 500

Návod ke cvičení předmětu BPGA SLC 500 Návod ke cvičení předmětu BPGA SLC 500 SLC 500 Automat SLC 500 je výrobkem firmy Allen-Bradley, dneska již Rockwell Automation. Více informací ohledně tohoto produktu můžete najít na stránkách výrobce

Více

STATISTICA. Vlastní menu v programu. StatSoft

STATISTICA. Vlastní menu v programu. StatSoft StatSoft Vlastní menu v programu STATISTICA Software STATISTICA je rozsáhlý modulární program, kde jednotlivé moduly nabízejí velkou řadu statistických metod, naši uživatelé obvykle využívají analýzy z

Více

Lokality a uživatelé

Lokality a uživatelé Administrátorský manuál TTC TELEKOMUNIKACE, s.r.o. Třebohostická 987/5 100 00 Praha 10 tel.: 234 052 111 fax.: 234 052 999 e-mail: ttc@ttc.cz http://www.ttc-telekomunikace.cz Datum vydání: 15.října 2013

Více

Manuál programu HPSim

Manuál programu HPSim Manuál programu HPSim Základní informace o programu HPSim Program si můžete zdarma stáhnou z domovské stránky tohoto programu na adrese: http://www.winpesim.de. Tento software je volně šiřitelný pro potřeby

Více

Jak vytvořit vlastní ikonu bloku a faceplate v PCS7 V6.x a 7.x

Jak vytvořit vlastní ikonu bloku a faceplate v PCS7 V6.x a 7.x Jak vytvořit vlastní ikonu bloku a faceplate v PCS7 V6.x a 7.x Otázka: Jak postupovat při tvorbě vlastní ikony bloku a faceplate pro uživatelsky vytvořený funkční blok PCS7 Odpověď: Pro každý uživatelský

Více

Nápověda k aplikaci EA Script Engine

Nápověda k aplikaci EA Script Engine Nápověda k aplikaci EA Script Engine Object Consulting s.r.o. 2006 Obsah Nápověda k aplikaci EA Script Engine...1 1. Co je EA Script Engine...2 2. Důležité upozornění pro uživatele aplikace EA Script Engine...3

Více

IBRIDGE 1.0 UŽIVATELSKÝ MANUÁL

IBRIDGE 1.0 UŽIVATELSKÝ MANUÁL IBRIDGE 1.0 UŽIVATELSKÝ MANUÁL Jaromír Křížek OBSAH 1 ÚVOD... 3 2 INSTALACE... 4 2.1 SYSTÉMOVÉ POŽADAVKY... 5 2.2 SPUŠTĚNÍ IBRIDGE 1.0... 5 3 HLAVNÍ MENU... 6 3.1 MENU FILE... 6 3.2 MENU SETTINGS... 6

Více

Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5

Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5 Rejstřík Úvod...1 Instalace...1 Popis funkcí...2 Hlavní obrazovka...2 Menu...3 Práce s aplikací - příklad...5 Úvod Správcovská aplikace slouží k vytvoření vstupního a zašifrovaného souboru pro odečtovou

Více

Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7

Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7 Psaní programu pro PLC SIMATIC S7-300 pomocí STEP 7 Seznámení s programem STEP 7 bude provedeno řešením jednoduché úlohy. Lis s ochranným zařízením má být spuštěn jen pomocí signálu START- spínače S1,

Více

Reliance 3 design OBSAH

Reliance 3 design OBSAH Reliance 3 design Obsah OBSAH 1. První kroky... 3 1.1 Úvod... 3 1.2 Založení nového projektu... 4 1.3 Tvorba projektu... 6 1.3.1 Správce stanic definice stanic, proměnných, stavových hlášení a komunikačních

Více

XC3000(A) / XC3100(A)

XC3000(A) / XC3100(A) FPGA Xilinx SPARTAN 1. FPGA Xilinx historie Řada XC2000 byla historicky první FPGA (rok 1984), v současné době se již nedodává. Principy použité pro její konstrukci byly natolik geniální, že jsou na nich

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Na vybraném serveru vytvoříme MySQL databázi. Soubory scratch.jpa, kickstart.php a en-gb.kickstart.ini nahrajeme na vybraný server.

Na vybraném serveru vytvoříme MySQL databázi. Soubory scratch.jpa, kickstart.php a en-gb.kickstart.ini nahrajeme na vybraný server. 1 Práce se systémem Tento dokument popíše způsob instalace a základy práce se systémem Joomla!, ve kterém je učebnice jazyka Scratch vytvořena. Podrobný návod k systému Joomla! je popsán v dokumentaci

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu / Druh CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT

Více

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9

3 Editor Capture. 3.1 Práce s projekty. Analýza elektronických obvodů programem PSpice 9 Analýza elektronických obvodů programem PSpice 9 3 Editor Capture U editoru Capture závisí nabídka hlavní lišty na tom, které okno pracovní plochy je aktivované. V dalším textu budou popsány jen ty položky,

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Dokument a jeho části oddíly, záhlaví, zápatí

Dokument a jeho části oddíly, záhlaví, zápatí Dokument a jeho části oddíly, záhlaví, zápatí Nejčastějším úkolem bývá ukončení stránky a pokračování textu na další stránce nebo vložení stránky před napsaný text. Podobným úkolem je jiné svislé zarovnání

Více

Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch

Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch 2 Komunikace se snímačem vlhkosti a teploty po protokolu Modbus RTU - z IDEC SmartAxis Touch Abstrakt Tento aplikační

Více

Spuštění a ukončení databázové aplikace Access

Spuštění a ukončení databázové aplikace Access Spuštění a ukončení databázové aplikace Access Aplikaci Access spustíte tak, že vyhledáte její ikonu v nabídce "Start" a klepnete na ní. Najdete ho v Sekci Všechny programy/mircosoft Office. Po výběru

Více

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna

CAD library. Spuštění aplikace. Práce s aplikací. Popis okna CAD library Aplikace CAD library je určena pro zobrazení schémat a pohledů na přístroje firmy Schneider Electric (obsahuje také knihovnu elektrotechnických značek pro všeobecné použití). Zobrazené výkresy

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu / Druh CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT

Více

1 Návod na instalaci prostředí LeJOS-NXJ a přehrání firmwaru NXT kostky

1 Návod na instalaci prostředí LeJOS-NXJ a přehrání firmwaru NXT kostky 1 Návod na instalaci prostředí LeJOS-NXJ a přehrání firmwaru NXT kostky 1. Nainstalujte ovladač na připojení NXJ přes USB rozhraní. Pokud jste nainstalovali software od LEGO Mindstorms, který se k legu

Více

Nástrojová lišta v editačním poli

Nástrojová lišta v editačním poli Nástrojová lišta v editačním poli Název projektu PŘEJÍT NA konkrétní sekci webu ZOBRAZIT zobrazí a) pracovní verzi webu (tj. nepublikovanou) b) publikovanou verzi webu a) Odstranit odstraní zobrazenou

Více

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz

PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Technická zpráva PicoBlaze lekce 1: assembler, C překladač a simulační prostředí Jiří Svozil, Leoš Kafka, Jiří Kadlec svozil@utia.cas.cz Obsah 1. Úvod... 2 2. Xilinx PicoBlaze... 2 2.1 Architektura procesoru...

Více

Co je potřeba k realizaci příkladu

Co je potřeba k realizaci příkladu Meta Coder - příklad krok po kroku Pozn.Dokument je vytvořen tak, aby byl srozumitelný i pro začátečníky. příklad lze také zhlédnout na http:///support.htm Tento příklad vytvoří jednoduchou MVC2 aplikaci.

Více

Projektová dokumentace GED 2006

Projektová dokumentace GED 2006 Projektová dokumentace GED 2006 20.4.2006 Řešitelé týmu a podíl práce na projektu: Kamil Dudka xdudka00 objektový návrh uživatelské rozhraní podpora plug-in programů kreslící plocha vkládání textu programová

Více

Část 3 Manuál pro správce

Část 3 Manuál pro správce Obsah Část 3 Manuál pro správce... 3 Nastavení účtů v Kleosu... 4 Nastavení dalších polí... 4 Nastavení emailu... 6 Nastavení šablon... 7 Nastavení činností a fakturačních položek... 8 2 3 Část 3 Manuál

Více

Instalační a uživatelská příručka aplikace VHDT

Instalační a uživatelská příručka aplikace VHDT Instalační a uživatelská příručka aplikace VHDT Jan Matějů 16. ledna 2013 1 Požadavky Ke spuštění aplikace je nutné mít v počítači nainstalované běhové prostředí Java. Doporučena je verze Oracle JRE 6

Více

Postup získání a nastavení připojovacího certifikátu pro úložiště SÚKL k použití pro elektronické recepty v systému Mediox

Postup získání a nastavení připojovacího certifikátu pro úložiště SÚKL k použití pro elektronické recepty v systému Mediox Postup získání a nastavení připojovacího certifikátu pro úložiště SÚKL k použití pro elektronické recepty v systému Mediox Pro připojení ke všem novým rozhraním úložišť SÚKL je třeba připojovací certifikát.

Více

Nastavení hardwarové konfigurace pro CPU 314C-2DP v programu SIMATIC Manager

Nastavení hardwarové konfigurace pro CPU 314C-2DP v programu SIMATIC Manager Nastavení hardwarové konfigurace pro CPU 314C-2DP v programu SIMATIC Manager Datová správa PLC probíhá v STEP7 pomocí Simatic Manager. Zde mohou být např. kopírovány programové moduly nebo dále upravovány.

Více

Digitální učební materiál

Digitální učební materiál Digitální učební materiál Projekt: Digitální učební materiály ve škole, registrační číslo projektu CZ.1.07/1.5.00/34.0527 Příjemce: Střední zdravotnická škola a Vyšší odborná škola zdravotnická, Husova

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

DŮLEŽITÉ INFORMACE, PROSÍM ČTĚTE!

DŮLEŽITÉ INFORMACE, PROSÍM ČTĚTE! DŮLEŽITÉ INFORMACE, PROSÍM ČTĚTE! Tento dodatek k uživatelské příručce obsahuje postup nastavení USB portu pro ADSL modem CellPipe 22A-BX-CZ Verze 1.0 01/2004 Úvod Vážený zákazníku, tento text popisuje

Více

DUM 06 téma: Tvorba makra pomocí VBA

DUM 06 téma: Tvorba makra pomocí VBA DUM 06 téma: Tvorba makra pomocí VBA ze sady: 03 tematický okruh sady: Tvorba skript a maker ze šablony: 10 Algoritmizace a programování určeno pro: 4. ročník vzdělávací obor: 18-20-M/01 Informační technologie

Více

Pravidla a plánování

Pravidla a plánování Administrátorský manuál TTC TELEKOMUNIKACE, s.r.o. Třebohostická 987/5 100 00 Praha 10 tel.: 234 052 111 fax.: 234 052 999 e-mail: ttc@ttc.cz http://www.ttc-telekomunikace.cz Datum vydání: 7. května 2013

Více

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto

Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Gymnázium Vysoké Mýto nám. Vaňorného 163, 566 01 Vysoké Mýto Registrační číslo projektu Šablona Autor Název materiálu / Druh CZ.1.07/1.5.00/34.0951 III/2 INOVACE A ZKVALITNĚNÍ VÝUKY PROSTŘEDNICTVÍM ICT

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

BIOS. Autor: Bc. Miroslav Světlík

BIOS. Autor: Bc. Miroslav Světlík BIOS Autor: Bc. Miroslav Světlík Škola: Hotelová škola, Obchodní akademie a Střední průmyslová škola Teplice, Benešovo náměstí 1, příspěvková organizace Kód: VY_32_INOVACE_ICT_837 1. 11. 2012 1 1. BIOS

Více

Implementace LMS MOODLE. na Windows 2003 Server a IIS 6.0

Implementace LMS MOODLE. na Windows 2003 Server a IIS 6.0 Implementace LMS MOODLE na Windows 2003 Server a IIS 6.0 Obsah 1 ÚVOD... 3 1.1 Instalace PHP... 3 1.1.1 Nastavení práv k adresáři PHP... 3 1.1.2 Úprava souboru php.ini... 4 1.1.3 Proměnné prostředí...

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

VYUŽITÍ GRAFICKÉHO UŽIVATELSKÉHO ROZHRANÍ MATLABU VE VÝZKUMU A VÝUCE MĚŘENÍ

VYUŽITÍ GRAFICKÉHO UŽIVATELSKÉHO ROZHRANÍ MATLABU VE VÝZKUMU A VÝUCE MĚŘENÍ VYUŽITÍ GRAFICKÉHO UŽIVATELSKÉHO ROZHRANÍ MATLABU VE VÝZKUMU A VÝUCE MĚŘENÍ Jan Blaška 1, Michal Krumpholc 2, Miloš Sedláček 2 1 Elektrosystem, spol. s.r.o., Brno 2 České vysoké učení technické v Praze

Více

MIDAM Verze 1.1. Hlavní okno :

MIDAM Verze 1.1. Hlavní okno : MIDAM Verze 1.1 Podporuje moduly Midam 100, Midam 200, Midam 300, Midam 400, Midam 401, Midam 410, Midam 411, Midam 500, Midam 600, Ghc 2x. Umožňuje nastavení parametrů, sledování výstupních nebo vstupních

Více

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná.

Interface LPG / CNG Bluetooth. Instrukce k instalaci a konfiguraci zařízení v1.0 cz. U rozhraní bluetooth není instalace ovladače potřebná. Interface LPG / CNG Bluetooth ProjektTECH Instrukce k instalaci a konfiguraci zařízení v1.0 cz U rozhraní bluetooth není instalace ovladače potřebná. (párovací kód: 1234) 1. Požadavky na hardware : - PC

Více

Instalace SW VIS z internetu - Opakovaná instalace, instalace upgrade

Instalace SW VIS z internetu - Opakovaná instalace, instalace upgrade Instalace SW VIS z internetu - Opakovaná instalace, instalace upgrade Opakovanou instalací SW VIS rozumíme instalaci do adresáře, který již obsahuje starší instalaci programu VIS. Většinou se provádí ze

Více

2.3.3 PRÁCE S JEDNÍM A VÍCE DOKUMENTY

2.3.3 PRÁCE S JEDNÍM A VÍCE DOKUMENTY MS OFFICE V PŘÍKLADECH část 2, díl 3, kap. 3, str. 1 Všechny příklady v této kapitole jsou k dispozici na CD CD 2.3.3 PRÁCE S JEDNÍM A VÍCE DOKUMENTY Vytvoření nového dokumentu Nový dokument můžete vytvořit

Více

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks.

Workshop. Vývoj embedded aplikací v systému MATLAB a Simulink. Jiří Sehnal sehnal@humusoft.cz. www.humusoft.cz info@humusoft.cz. www.mathworks. Workshop Vývoj embedded aplikací v systému MATLAB a Simulink Jiří Sehnal sehnal@humusoft.cz www.humusoft.cz info@humusoft.cz www.mathworks.com 1 Obsah workshopu Model Based Design model soustavy a regulátoru

Více

Práce s programem MPVaK

Práce s programem MPVaK Práce s programem MPVaK Tato informace popisuje postup práce s programem "MPVaK Vybrané údaje z majetkové a Vybrané údaje z provozní evidence. Jsou v ní popsány nejdůležitější úlohy, které budete s programem

Více

Logické řízení s logickým modulem LOGO!

Logické řízení s logickým modulem LOGO! Logické řízení s logickým modulem LOGO! Cíl: Seznámit se s programováním jednoduchého programovatelného automatu (logického modulu) LOGO! a vyzkoušet jeho funkčnost na konkrétních zapojeních. Úkol: 1)

Více

František Hudek. duben ročník

František Hudek. duben ročník VY_32_INOVACE_FH09_WIN Jméno autora výukového materiálu Datum (období), ve kterém byl VM vytvořen Ročník, pro který je VM určen Vzdělávací oblast, obor, okruh, téma Anotace František Hudek duben 2013 6.

Více

Instalace Microsoft SQL serveru 2012 Express

Instalace Microsoft SQL serveru 2012 Express Instalace Microsoft SQL serveru 2012 Express Podporované OS Windows: Windows 7, Windows 7 Service Pack 1, Windows 8, Windows 8.1, Windows Server 2008 R2, Windows Server 2008 R2 SP1, Windows Server 2012,

Více

Instalace SW VIS z internetu - Nová instalace. Spuštění instalačního programu. Podrobný popis nové instalace SW VIS

Instalace SW VIS z internetu - Nová instalace. Spuštění instalačního programu. Podrobný popis nové instalace SW VIS Instalace SW VIS z internetu - Nová instalace Novou instalací SW VIS rozumíme instalaci do adresáře, která doposud neobsahuje žádnou starší instalaci programu VIS. V naprosté většině případů se jedná o

Více

Popis programu EnicomD

Popis programu EnicomD Popis programu EnicomD Pomocí programu ENICOM D lze konfigurovat výstup RS 232 přijímačů Rx1 DIN/DATA a Rx1 DATA (přidělovat textové řetězce k jednotlivým vysílačům resp. tlačítkům a nastavovat parametry

Více

Tiskové sestavy. Zdroj záznamu pro tiskovou sestavu. Průvodce sestavou. Použití databází

Tiskové sestavy. Zdroj záznamu pro tiskovou sestavu. Průvodce sestavou. Použití databází Tiskové sestavy Tiskové sestavy se v aplikaci Access používají na finální tisk informací z databáze. Tisknout se dají všechny objekty, které jsme si vytvořili, ale tiskové sestavy slouží k tisku záznamů

Více

EVIDENCE DOCHÁZKY SE ČTEČKOU INTAGRAL. příručka uživatele

EVIDENCE DOCHÁZKY SE ČTEČKOU INTAGRAL. příručka uživatele EVIDENCE DOCHÁZKY SE ČTEČKOU INTAGRAL příručka uživatele Obsah 1. Úvod 2. Instalace čtečky 3. Instalace programu 4. Nastavení programu 4.1. Nastavení směny 4.2. Nastavení přesčasů 4.3. Nastavení výjimek

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více