Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Podobné dokumenty
9. Praktická verifikace

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

10. Techniky formální verifikace a validace

SYSTÉMY NAČIPU MI-SOC

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Verifikace číslicových obvodů

12. VHDL pro verifikaci - Testbench I

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Verifikace číslicových obvodů

7. Pracovní postupy. Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt

Temporální Logiky. Stefan Ratschan. Fakulta informačních technologíı. Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 1 / 19

2. Synchronní číslicové systémy

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Honeywell & Masarykova univerzita v Brně

Simulace číslicových obvodů: úvod Jakub Šťastný ASICentrum, s.r.o. Katedra teorie obvodů FEL ČVUT Praha

Profilová část maturitní zkoušky 2017/2018

Struktura a architektura počítačů (BI-SAP) 4

Formální Metody a Specifikace (LS 2011) Formální metody pro kyber-fyzikální systémy

Algoritmizace diskrétních. Ing. Michal Dorda, Ph.D.

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Unbounded Model Checking

Maturitní otázky z předmětu PROGRAMOVÁNÍ

MATURITNÍ OTÁZKY ELEKTROTECHNIKA - POČÍTAČOVÉ SYSTÉMY 2003/2004 PROGRAMOVÉ VYBAVENÍ POČÍTAČŮ

Metody návrhu systémů na bázi FPGA

Struktura a architektura počítačů (BI-SAP) 3

Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů

3. Sekvenční logické obvody

Verifikace pomocí assertions: jazyk PSL Jakub Šťastný

Úvod do modelování a simulace. Ing. Michal Dorda, Ph.D.

IMOSI - MODELACE A SIMULACE LEARN 2013 správně možná špatně

Konvolučníkódy. MI-AAK(Aritmetika a kódy)

Profilová část maturitní zkoušky 2013/2014

7. Popis konečného automatu

VeriFIT Automatizovaná analýza a verifikace

U Úvod do modelování a simulace systémů

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Stefan Ratschan. Fakulta informačních technologíı. Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 1 / 19

Institut teoretické informatiky (ITI) na FI MU

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

POČÍTAČOVÁ SIMULACE PODNIKOVÝCH PROCESŮ. Ing. V. Glombíková, PhD.

Zátěžové testy aplikací

Centrum kompetence automobilového průmyslu Josefa Božka - AutoSympo a Kolokvium Božek 2. a , Roztoky -

Návrh softwarových systémů - architektura softwarových systémů

Maturitní témata Školní rok: 2015/2016

DOPLNĚK. Projekt Informační systém základních registrů je spolufinancován Evropskou unií z Evropského fondu pro regionální rozvoj.

LOGICKÉ OBVODY X36LOB

5. Sekvenční logické obvody

Normal mission real time system

7 Jazyk UML (Unified Modeling Language)

Matematická indukce a správnost programů. Základy diskrétní matematiky, BI-ZDM ZS 2011/12, Lekce 13

5 Požadavky a jejich specifikace


ČVUT FEL Katedra telekomunikační techniky, K prosince Radek Mařík Ověřování modelů II 6. prosince / 39

Vývoj a testování elektronických řídicích jednotek pro automobily

Projekt č. TA

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

PŘÍLOHA C Požadavky na Dokumentaci

7 Jazyk UML (Unified Modeling Language)

Struktura a architektura počítačů (BI-SAP) 10

Návrh a simulace zkušební stolice olejového čerpadla. Martin Krajíček

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

1 Nejkratší cesta grafem

Programování LEGO MINDSTORMS s použitím nástroje MATLAB a Simulink

Unifikovaný modelovací jazyk UML

Informační systémy plánování výroby - pokročilé rozvrhování

Stefan Ratschan. Fakulta informačních technologíı. Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 1 / 16

TÉMATICKÝ OKRUH Softwarové inženýrství

ZÁKLADY AUTOMATICKÉHO ŘÍZENÍ

Datové struktury 2: Rozptylovací tabulky

AUTOMATY A GRAMATIKY. Pavel Surynek. Kontextové uzávěrové vlastnosti Turingův stroj Rekurzivně spočetné jazyky Kódování, enumerace

VÝVOJ ŘÍDICÍCH ALGORITMŮ HYDRAULICKÝCH POHONŮ S VYUŽITÍM SIGNÁLOVÉHO PROCESORU DSPACE

5. Metody návrhu uživatelského rozhraní

Architektury počítačů

Návrh. číslicových obvodů

5 Požadavky a jejich specifikace

Zpráva o průběhu přijímacího řízení na vysokých školách dle Vyhlášky MŠMT č. 343/2002 a její změně 276/2004 Sb.

Profilová část maturitní zkoušky 2015/2016

FPGA + mikroprocesorové jádro:

Architektura softwarových systémů

Regulární výrazy. Definice Množina regulárních výrazů nad abecedou Σ, označovaná RE(Σ), je definována induktivně takto:

Program a životní cyklus programu

Profilová část maturitní zkoušky 2014/2015

Úvod do projektového řízení

Algoritmus. Přesné znění definice algoritmu zní: Algoritmus je procedura proveditelná Turingovým strojem.

Virtuální ověřování výroby Robotika Process Simulate Virtual Commissioning Virtuelle Inbetriebnahme

GENERÁTOR HLÍDACÍCH OBVODŮ PRO KOMUNIKAČNÍ PROTOKOLY XILINX FPGA

Stanovit nezbytná pravidla pro tvorbu dokumentace vytvářenou ve SITRONICS centru využitelnou firmou SITRONICS TS.

Úplný systém m logických spojek. 3.přednáška

ČVUT FEL, K December 12, Radek Mařík Ověřování modelů II December 12, / 30

7.6 Další diagramy UML

MPASM a IDE pro vývoj aplikací MCU (Microchip)

Verifikace pomocí assertions: seznámení Jakub Šťastný

Sekvenční logické obvody

Vestavěné diagnostické prostředky 1 (BIST)

Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod

SEKVENČNÍ LOGICKÉ OBVODY

7.6 Další diagramy UML

Praktické využití Mathematica CalcCenter. Ing. Petr Kubín, Ph.D. Katedra elektroenergetiky, ČVUT v Praze, FEL

doplněk, zřetězení, Kleeneho operaci a reverzi. Ukážeme ještě další operace s jazyky, na které je

Transkript:

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii ČVUT v Praze Hana Kubátová MI-SOC 2011/12 1

VERIFIKACE A VALIDACE Část 1 Techniky formální verifikace a validace Část 2 Verifikační strategie Pokročilé techniky simulace Metriky verifikace Aserce Statická a dynamická formální verifikace Kombinované verifikační techniky Hana Kubátová MI-SOC 201 11/12 2

SLOŽKY VERIFIKACE Verifikační prostředí Verifikační IP Metodika verifikace Standardní komponenty (knihovna) Simulátor Jazyky Kontrola modelu 3

ÚZKÁ MÍSTA SIMULACE Tvorba stimulů Provedení simulace Vyhodnocení výstupu Ladění návrhu Útěk k software Neefektivní co se týče příkonu a plochy Kdo bude verifikovat software? 4

PROVEDENÍ SIMULACE Paralelní systémy Dedikovaný hardware speciální HW struktura pro daný simulační algoritmus cenová efektivita? (~20 běžných strojů) Emulace část HW naživo úschova a obnova stavu FPGA 5

STIMULY příliš mnoho možností vstupu Vymezené náhodné (constrained random) stimuly příliš velké systémy Spec. jazyky (Vera, SystemVerilog, SystemC) problém řiditelnosti jak popsat omezení vstupů? jak řídit simulaci, aby pokryla i okrajové případy (corner cases)? 6

METRIKY POKRYTÍ Strukturní metriky měří pokrytí strukturními jednotkami kódu (příkaz, větvení, výraz...) Funkční metriky body verifikačního plánu důležité funkce, obsažené ve specifikaci 7

VYHODNOCENÍ VÝSTUPU odezva chybné části implementace se projeví na výstupu se zpožděním, maskovaně nebo vůbec ne simulaci specifikace a implementace nelze problém srovnat přímočaře pozorovatelnosti 8

LADĚNÍ K nápravě chyby je třeba porozumět příčině Náhodně generované stimuly nedávají smysl Výstup popisuje příznaky, ne příčinu Chybí informace o chování vnitřních signálů resimulace (emulované bloky?) 9

ASERCE Výroky, vložené do kódu Použití: kontrola modelu (statická verifikace) kontrola při simulaci (dynamická verifikace) popis přípustného vstupu bloku řízení verifikace (sběr statistik) okrajové případy pozorovatelnost řiditelnost 10

PROPERTY SPECIFICATION LANGUAGE (PSL) Booleovská vrstva: výrazy (Verilog, VHDL), které se týkají jednoho okamžiku Temporální vrstva: výroky o posloupnostech v (diskrétním) čase (LTL, CTL) Verifikační vrstva: direktivy nástrojům Modelová vrstva: popis okolí, je-li třeba LTL: Linear Time Logic, logika lineárního času CTL: Computational Tree Logic, logika výpočetních posloupností 11

KOMBINOVANÉ TECHNIKY Aserce: dokázaná, má protipříklad, nedokázaná, částečně dokázaná Kontrola modelu z daného počátečního stavu po daný počet kroků (radius důkazu) Kontrola modelu u problematických bloků (hot spots) Celek: dynamická verifikace řízená statistikami 12

POKRYTÍ STAVOVÉHO PROSTORU výchozí stav stavy, pokryté dynamickou verifikací stavy, pokryté statickou verifikací stavy dosažitelné k kroky (radius kontroly modelu) stavy, dosažené hledáním protipříkladu do hloubky 13

PROBLÉMOVÁ MÍSTA Místo Kontrolovaná tvrzení Metoda správa zdrojů, arbitry rozhraní automaty rozhraní přechod mezi hodinovými doménami exkluzivní alokace, korektní dealokace protokol zatížení rozhraní časové specifikace časové závislosti, hazardy rekonvergentních signálů integrita dat čtení dat před přepsáním, tok dat kontrola modelu na arbitru, kontrola předpokladů monitory protokolu aserce z časových diagramů aserce z časových diagramů, statická kontrola návrhových pravidel kontrola modelu, verifikační knihovna 14

METRIKY PRO ASERCE Pokrytí konstruktů HDL složitost aserce Strukturní pokrytí indukované funkční simulací Množství stavů pokrytých částečnými důkazy 15

ZDROJE ASERCÍ Formální modely známých struktur (např. fronta): knihovny Standardy, definice protokolů Popis na vyšší úrovni abstrakce např. automatový popis versus proudově pracující implementace 16

OPEN VERIFICATION METHODOLOGY Verifikační prostředí Verifikační IP Metodika OVM Knihovna tříd OVM SystemVerilog SystemVerilog simulátor OVM 17

OVM Mentor Graphics AVM, Cadence URM Komunikace mezi bloky na úrovni transakcí pojem transakce kompatibilní se SystemC standardní rozhraní, metody rozhraní Standardní fáze simulace (záchytné body pro verifikační IP) konstrukce elaborace simulace report Podpora generování vymezených náhodných stimulů 18

STAVEBNÍ BLOKY OVM sběrnce AMBA Verifikace AMBA Generátor odezvy Generátor sekvencí Monitor: kontrola protokolu kontrola pokrytí 19 podle Information Qarterly 7(1), 2008