Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů

Rozměr: px
Začít zobrazení ze stránky:

Download "Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů"

Transkript

1 Testování a spolehlivost ZS 2011/ Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Příprava studijního programu Informatika je podporována projektem financovaným z Evropského sociálního fondu a rozpočtu hlavního města Prahy. Praha & EU: Investujeme do vaší budoucnosti

2 Program Atalanta Budeme využívat program Atalanta popsaný zde spolu s poruchovým simulátorem HOPE. 1. Analyzujte zapojení obvodu popsaného v souboru c17.bench. Nakreslete jeho logické schéma. 2. Vygenerujte jeho úplný test příkazem: atalanta -l c17.lst c17.bench 1. Ověřte výsledek testu tím, že zkontrolujete všechny vygenerované soubory, spusťe poruchový simulátor HOPE příkazem: hope.exe -l hope.log -t c17.test c17.bench 2. Zkontrolujte výpis hope.log, ověřte pokrytí poruch jednotlivými vektory. Vygenerovaný test považujte za minimální detekční test. Proveďte příkaz: atalanta -l c17.lst -N c17.bench 3. Získáte soubor c17.test, který obsahuje vyšší počet vektroů (nebyla provedena kompakce vektorů), který je nejlépe využitelný pro sestavení slovníku poruch. 3. Sestavte komprimovaný slovník poruch metodou záznamu první chybné odezvy. Slovník získáme tak, že ze seznamu vygenerovaných testovacích vektorů odstraníme postupně poslední vektory a postupně spouštíme simulátor HOPE. Seznam nedetekovaných poruch bude odpovídat poruchám detekovatelným v krocích testu, odpovídajících odstraněným vektorům. 4. Obvod realizujte na přípravcích SPARTAN Ověřte správnou funkci realizovaného obvodu s použitím úplného detekčního testu a slovníku poruch. 6. Ověřte možnost detekce a lokalizace poruch typu t0 a t1 fyzikálně injektovaných v libovolném místě obvodu s použitím slovníku poruch (některé poruchy vloží asistent). 1. Zjistěte použitelnost slovníku pro vícenásobné poruchy zapište odezvy a vyvoďte závěr. 7. Vygenerujte test pro některý z větších benchmarkových obvodů a proveďte rozbor výsledků testu.

3 Syntéza BIST Seznamte se s apletem pro syntézu obvodů BIST na adrese: Navrhněte vlastní vestavěné diagnostické prostředky pro zadaný testovaný obvod a odsimilujte chování obvodu s BIST pomocí Modelsimu či ISE. 1. Nastavte learning module, v menu Option je možné nastavit jazyk na anglicky nebo slovensky. 2. Projděte jednotlivé kroky v režimu cvičenie. Zvolte generátor testovacích vzorků podle pokynů cvičícího. Vygenerujte VHDL kód jednotlivých komponent a celého BIST modulu. 3. Přeložte jednotlivé moduly v Modelsimu. Jako poslední překládejte modul BIST. 4. Odsimulujte test celého obvodu pomocí připravených budících signálů. Podle výsledků simulace změňte obsah registru Signature v navrhovaném BISTu tak, aby při správné funkci byl vygenerován signál BISTFAIL = 0 5. Ověřte schopnost detekovat poruchu tím, že v modulu CUT změníte funkci a odsimulujete znovu celý test.

4 Generování testů pro sekvenční obvody Identifikační metody Trocha teorie Při použití identifikačních metod představuje podstatnou část řešení sestavení některých ze základních posloupností, k nimž patří synchronizační, nastavovací, rozlišovací a převáděcí posloupnost. Pro sestavení prvních tří se používá některá varianta stromu následovníků (někdy též označovaného jako vývojový strom). Podle typu sestavované posloupnosti tento strom označujeme jako synchronizační, nastavovací nebo rozlišovací. Základní pravidlo pro sestavování stromu je vždy stejné: do uzlu, do něhož směřuje určitá hrana, zapisujeme následující stavy do nichž je automat převeden vstupním symbolem, přiřazeným této hraně. Jednotlivé typy stromů se liší pouze pravidly pro zjednodušení tohoto seznamu stavů a pravidly pro ukončení větve. I když pro nastavovací a synchronizační posloupnost mají určující význam hodnoty výstupu, obvykle se do stromu nezapisují. Tyto hodnoty je třeba sledovat v tabulce stavu. Největší množství informací obsahuje rozlišovací strom. Vycházíme z uzlu s jednotkovým rozkladem (všechny stavy automatu jsou v jednom bloku). Aplikace každého vstupního symbolu znamená zjemnění tohoto rozkladu, pokud se pro daný vstup vyskytují nejméně dva různé výstupní symboly. Do společného bloku zapisujeme vždy ty následující stavy, které v předchozí úrovni byly ve společném bloku a mají pro daný vstupní symbol navzájem stejný výstupní symbol. Větev se ukončí jako neprespektivní, jestliže se v jednom bloku vyskytuje určitý stav několikrát. Podobně bývá účelné (nikoli však nutné) ukončšit větev, na níž se opakuje stejný rozklad jako v předchozím uzlu. TAková větev sice nemusí být nepoužitelná, ale přidává nám do rozlišovací posloupnosti zbytečné symboly. Pokud se spokojíme s nalezením jedné rozlišovací posloupnosti, můžeme sestavování stromu ukončit ve chvíli, kdy v některém uzlu vznikne nulový rozklad. Rozlišovací posloupnost je pak tvořena vstupními symboly přiřazenými hranám na cestě od výchozího ulzu k uzlu s nulovým rozkladem. Při hledání rozliščovací posloupnosti je důležité si uvědomit, že pr některé automaty tato posloupnost neexistuje. V rozlišovacím stromu tuto skutečnost poznáme podle toho, že některé větve skončí, aniž bychom získali nulový rozklad. Při hledání synchronizační posloupnosti nás nezajímá, jaké jsou hodnoty výstupu. Proto můžeme ze stromu následovníků vynechat všechnu informaci kromě zápisu následujícího stavu. Synchronizační posloupnost je nalezena, jestliže je určitému uzlu přiřazen pouze jeden stav. Jako neprespektivní můžeme v tomto případě ukončit cesty, které neredukují počet různých stavů ve srovnání s uzlem v předcházející úrovni. Ani synchronizační posloupnost nemusí pro obecný automat existovat.

5 Pokud synchronizační posloupnost neexistuje je, lze její funkci nahradit kombinací nastavovací a převáděcí posloupnosti. Sestavení převáděcí posloupnosti je v podstatě základní úloha z teorie automatů, proto se jí zde nebudeme zabývat. Při hledání nastovavací posloupnosti používáme nastavovací strom, který je opět zjednodušením obecného stromu následovníků. Protože úkolem nastavovací posloupnosti je umožnit jednoznačnou identifikaci koncového stavu na základě posloupnosti výstupních symbolů, není třeba v každém uzlu rozlišovat, ze kterého výchozího stavu automat vyšel. Stačí tedy v každém bloku zapisovat určitý stav jen jednou. Nastavovací posloupnost je nalezena, vznikne-li v některém uzlu nový rozklad množin koncových stavů automatu. Cesta v nastavovacím stromě se ukončuje jako neprespektivní, jestliže se nově vzniklý rozklad vyskytuje již na některé z předcházejících úrovní. Příklad Pro automat popsaný v tabulce 3.1 sestavte rozlišovací posloupnost. a a,0 b,0 b a,0 c,1 c b,1 c,1 Tabulka 3.1: Automat Obrázek 3.1: Řešení automatu Obě cesty v rozlišovacím stromu na obrázku 3.1 musely být ukončeny, protože ve vzniklých rozkladech se opakuje jeden stav v témže bloku. Pro daný automat tedy neexistuje rozlišovací posloupnost. Úlohy Pro automat popsaný tabulkou stavů v tabulce 3.2 sestavte rozlišovací posloupnost. Odvoďte výstupní posloupnosti, které identifikují jednotlivé výchozí stavy. 1 4,1 4,1 2 1,0 5,1 3 5,,1

6 4 3,1 4,1 5 2,1 5,1 Tabulka 3.2: Tabulka stavů automatu Pro automat popsaný tabulkou stavů v tabulce 3.3 sestavte synchronizační posloupnost. a a,0 c,1 b a,0 b,0 c a,0 c,1 d b,1 a,1 e c,1 d,0 Tabulka 3.3: Tabulka stavů automatu Pro automat popsaný tabulkou stavů v tabulce 3.4 sestavte synchronizační posloupnost. a b,0 a,1 b c,1 a,1 c d,0 c,0 d a,1 c,0 Tabulka 3.4: Tabulka stavů automatu Prop automat popsaný tabulkou stavů v tabulce 3.5 sestavte synchronizační posloupnost. a a,0 b,1 b a,0 c,0 c c,1 d,0 d d,0 b,1 Tabulka 3.5: Tabulka stavů automatu Strukturní metody Trocha teorie Formálním prostředkem většiny strukturních metod testování sekvenčních obvodů je náhrada sekvenčního obvodu kaskádou kombinačních obvodů, vzniklých eliminací zpětných vazeb.

7 Jeden stupeň kaskády vznikne rozpojením všech zpětovazebních smyček a náhradou každé smyčky jedním vstupem a jedním výstupem. Tyto nově vzniklé vstupy a výstupy propojují jednotlivé stupně kaskády tak, aby souhlasil index vnitřní proměnné. Ve vzniklé kaskádě kombinačních obvodů můžeme pak odvodit testovací posloupnost pro sekvenční obvod, případně též posloupnost nutnou pro nastavení požadovaného počátečního stavu v sekvenčním obvodu. Obě tyto úlohy řešíme v kombinačním obvodu, a proto pro ně můžeme použít kteroukoliv ze známých metod generování testů pro kombinační obvody. Úloha Pro obvod na následujícím obrázku navrhněte transformaci na kaskádu kombinačních obvodů, umožňující generovat test některou ze strukturních metod. Nakreslete alespoň dva stupně kaskády a označte proměnné. Obrázek 3.2: Sekvenční obvod určen pro transformaci Literatura Diagnostika a spolehlivost, J. Hlavička Diagnostika a spolehlivost cvičení, J. Hlavička

Testování a spolehlivost. 1. Laboratoř Poruchy v číslicových obvodech

Testování a spolehlivost. 1. Laboratoř Poruchy v číslicových obvodech Testování a spolehlivost ZS 2011/2012 1. Laboratoř Poruchy v číslicových obvodech Martin Daňhel Katedra číslicového návrhu Fakulta informačních technologií ČVUT v PRaze Příprava studijního programu Informatika

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Testování a spolehlivost. 4. Laboratoř Spolehlivostní modely 1

Testování a spolehlivost. 4. Laboratoř Spolehlivostní modely 1 Testování a spolehlivost ZS 2011/2012 4. Laboratoř Spolehlivostní modely 1 Martin Daňhel Katedra číslicového návrhu Fakulta informačních technologí ČVUT v Praze Příprava studijního programu Informatika

Více

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu

... sekvenční výstupy. Obr. 1: Obecné schéma stavového automatu Předmět Ústav Úloha č. 10 BDIO - Digitální obvody Ústav mikroelektroniky Komplexní příklad - návrh řídicí logiky pro jednoduchý nápojový automat, kombinační + sekvenční logika (stavové automaty) Student

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Představení diagnostiky počítačů

Představení diagnostiky počítačů Představení diagnostiky počítačů Diagnostika počítačů cvičení 1 Agenda Základní pojmy Porucha t 0 a t 1 Hledání kontrolních bodů při testování kombinačních obvodů, úplný test Metoda intuitivního zcitlivění

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Organizace předmětu, podmínky pro získání klasifikovaného zápočtu Kurz A0B38FPGA Aplikace

Více

U Úvod do modelování a simulace systémů

U Úvod do modelování a simulace systémů U Úvod do modelování a simulace systémů Vyšetřování rozsáhlých soustav mnohdy nelze provádět analytickým výpočtem.často je nutné zkoumat chování zařízení v mezních situacích, do kterých se skutečné zařízení

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 METODY VERIFIKACE SYSTÉMŮ NA ČIPU II doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Automatizace je proces při němž je řídicí funkce člověka nahrazována činností

Automatizace je proces při němž je řídicí funkce člověka nahrazována činností Automatizace je proces při němž je řídicí funkce člověka nahrazována činností různých přístrojů a zařízení. (Mechanizace, Automatizace, Komplexní automatizace) Kybernetika je Věda, která zkoumá obecné

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Algoritmizace. 1. Úvod. Algoritmus

Algoritmizace. 1. Úvod. Algoritmus 1. Úvod Algoritmizace V dnešní době již počítače pronikly snad do všech oblastí lidské činnosti, využívají se k řešení nejrůznějších úkolů. Postup, který je v počítači prováděn nějakým programem se nazývá

Více

Vestavěné diagnostické prostředky 1 (BIST)

Vestavěné diagnostické prostředky 1 (BIST) Vestavěné diagnostické prostředky 1 (BIST) Testování a spolehlivost ZS 2011/2012, 8. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální

Více

KZPE semestrální projekt Zadání č. 1

KZPE semestrální projekt Zadání č. 1 Zadání č. 1 Navrhněte schéma zdroje napětí pro vstupní napětí 230V AC, který bude disponovat výstupními větvemi s napětím ±12V a 5V, kde každá větev musí být schopna dodat maximální proud 1A. Zdroj je

Více

HAZARDY V LOGICKÝCH SYSTÉMECH

HAZARDY V LOGICKÝCH SYSTÉMECH HAZARDY V LOGICKÝCH SYSTÉMECH 1. FUNKČNÍ HAZARD : Při změně vstupního stavu vstupních proměnných, kdy se bude měnit více jak jedna proměnná - v reálné praxi však současná změna nenastává a ke změnám hodnot

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace Číslo zadání: 1 Název zadání : Kombinační automat dvoubitová binární sčítačka Zadání : Navrhněte LO pro dvoubitovou binární sčítačku z TTL obvodů a) Proveďte analýzu zadané úlohy. b) Navrhněte sčítačku

Více

VLASTNOSTI GRAFŮ. Doc. RNDr. Josef Kolář, CSc. Katedra teoretické informatiky, FIT České vysoké učení technické v Praze. BI-GRA, LS 2010/2011, Lekce 5

VLASTNOSTI GRAFŮ. Doc. RNDr. Josef Kolář, CSc. Katedra teoretické informatiky, FIT České vysoké učení technické v Praze. BI-GRA, LS 2010/2011, Lekce 5 VLASTNOSTI GRAFŮ Doc. RNDr. Josef Kolář, CSc. Katedra teoretické informatiky, FIT České vysoké učení technické v Praze BI-GRA, LS 2010/2011, Lekce 5 Evropský sociální fond Praha & EU: Investujeme do vaší

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 11 METODY VERIFIKACE SYSTÉMŮ NA ČIPU Hana Kubátov vá doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta 1 informačních

Více

Výukový materiál zpracován v rámci projektu EU peníze školám

Výukový materiál zpracován v rámci projektu EU peníze školám Výukový materiál zpracován v rámci projektu EU peníze školám Registrační číslo projektu: CZ. 1.07/1.5.00/34.0637 Šablona III/2 Název VY_32_INOVACE_39_Algoritmizace_teorie Název školy Základní škola a Střední

Více

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

Testování sekvenčních obvodů Scan návrh

Testování sekvenčních obvodů Scan návrh Testování sekvenčních obvodů Scan návrh Testování a spolehlivost ZS 2011/2012, 6. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Evropský sociální

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

Modelování a simulace Lukáš Otte

Modelování a simulace Lukáš Otte Modelování a simulace 2013 Lukáš Otte Význam, účel a výhody MaS Simulační modely jsou nezbytné pro: oblast vědy a výzkumu (základní i aplikovaný výzkum) analýzy složitých dyn. systémů a tech. procesů oblast

Více

Regulační obvod s měřením akční veličiny

Regulační obvod s měřením akční veličiny Regulační obvod s měřením akční veličiny Zadání Soustava vyššího řádu je vytvořena z několika bloků nižšího řádu, jak je patrno z obrázku. Odvoďte výsledný přenos soustavy vyššího řádu popisující dané

Více

Regulační obvod s měřením regulováné veličiny

Regulační obvod s měřením regulováné veličiny Regulační obvod s měřením regulováné veličiny Zadání Soustava vyššího řádu je vytvořena z několika bloků nižšího řádu, jak je patrno z obrázku. Odvoďte výsledný přenos soustavy vyššího řádu popisující

Více

Základy umělé inteligence

Základy umělé inteligence Základy umělé inteligence Automatické řešení úloh Základy umělé inteligence - prohledávání. Vlasta Radová, ZČU, katedra kybernetiky 1 Formalizace úlohy UI chápe řešení úloh jako proces hledání řešení v

Více

2.7 Binární sčítačka. 2.7.1 Úkol měření:

2.7 Binární sčítačka. 2.7.1 Úkol měření: 2.7 Binární sčítačka 2.7.1 Úkol měření: 1. Navrhněte a realizujte 3-bitovou sčítačku. Pro řešení využijte dílčích kroků: pomocí pravdivostní tabulky navrhněte a realizujte polosčítačku pomocí pravdivostní

Více

Testování sekvenčních obvodů Simulace poruch, minimalizace testu

Testování sekvenčních obvodů Simulace poruch, minimalizace testu Testování sekvenčních obvodů Simulace poruch, minimalizace testu Testování a spolehlivost ZS 2011/2012, 4. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií ČVUT

Více

Teorie systémů TES 1. Úvod

Teorie systémů TES 1. Úvod Evropský sociální fond. Praha & EU: Investujeme do vaší budoucnosti. Teorie systémů TES 1. Úvod ZS 2011/2012 prof. Ing. Petr Moos, CSc. Ústav informatiky a telekomunikací Fakulta dopravní ČVUT v Praze

Více

TD - nauka (obor), zkoumá stav technického zařízení, metody určování těchto stavů, a principy konstrukce diagnostických zařízení.

TD - nauka (obor), zkoumá stav technického zařízení, metody určování těchto stavů, a principy konstrukce diagnostických zařízení. Kap. 3. Základy technické diagnostiky TD : Základní pojmy: TD - nauka (obor), zkoumá stav technického zařízení, metody určování těchto stavů, a principy konstrukce diagnostických zařízení. Diagnostikovatelné

Více

Úvod do teorie grafů

Úvod do teorie grafů Úvod do teorie grafů Neorientovaný graf G = (V,E,I) V množina uzlů (vrcholů) - vertices E množina hran - edges I incidence incidence je zobrazení, buď: funkce: I: E V x V relace: I E V V incidence přiřadí

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD

1. 5. Minimalizace logické funkce a implementace do cílového programovatelného obvodu CPLD .. Minimalizace logické funkce a implementace do cílového programovatelného obvodu Zadání. Navrhněte obvod realizující neminimalizovanou funkci (úplný term) pomocí hradel AND, OR a invertorů. Zaznamenejte

Více

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace

Střední průmyslová škola, Ústí nad Labem, Resslova 5, příspěvková organizace Číslo zadání: 1 Název zadání : Kombinační automat dvoubitová binární sčítačka Navrhněte LO pro dvoubitovou binární sčítačku z TTL obvodů Dílčí úkoly : a) Proveďte analýzu zadané úlohy. b) Navrhněte sčítačku

Více

Oscilátory. Návod k přípravku pro laboratorní cvičení v předmětu EO.

Oscilátory. Návod k přípravku pro laboratorní cvičení v předmětu EO. Oscilátory Návod k přípravku pro laboratorní cvičení v předmětu EO. Měření se skládá ze dvou základních úkolů: (a) měření vlastností oscilátoru 1 s Wienovým členem (můstkový oscilátor s operačním zesilovačem)

Více

Algoritmy a algoritmizace

Algoritmy a algoritmizace Otázka 21 Algoritmy a algoritmizace Počítačové programy (neboli software) umožňují počítačům, aby přestaly být pouhou stavebnicí elektronických a jiných součástek a staly se pomocníkem v mnoha lidských

Více

Konečné automaty (sekvenční obvody)

Konečné automaty (sekvenční obvody) Konečné automaty (sekvenční obvody) Název školy: SPŠ Ústí nad Labem, středisko Resslova Autor: Ing. Pavel Votrubec Název: VY_32_INOVACE_03_CIT_42_III_Seminarni_prace_navrh_KA Téma: Návrhy zadání III. Seminární

Více

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu.

1 Digitální zdroje. 1.1 Převod digitálních úrovní na analogový signál. Cílem cvičení je osvojení práce s digitálními zdroji signálu. 1 Digitální zdroje Cílem cvičení je osvojení práce s digitálními zdroji signálu. Cíle cvičení Převod digitálních úrovní na analogový signál Digitální zdroj signálu a BCD dekodér Čítač impulsů Dělička frekvence

Více

Střední průmyslová škola elektrotechnická a informačních technologií Brno

Střední průmyslová škola elektrotechnická a informačních technologií Brno Střední průmyslová škola elektrotechnická a informačních technologií Brno Číslo a název projektu: CZ.1.07/1.5.00/34.0521 Investice do vzdělání nesou nejvyšší úrok Autor: Ing. Bohumír Jánoš Tématická sada:

Více

Logické řízení výšky hladiny v nádržích

Logické řízení výšky hladiny v nádržích Popis úlohy: Spojené nádrže tvoří dohromady regulovanou soustavu. Přívod vody do nádrží je zajišťován čerpady P1a, P1b a P3 ovládaných pomocí veličin u 1a, u 1b a u 3, snímání výšky hladiny je prováděno

Více

Pracovní list č. 15 Microsoft Word 2010 reference II

Pracovní list č. 15 Microsoft Word 2010 reference II Pracovní list č. 15 Microsoft Word 2010 reference II Hypertextový odkaz Odkaz na jiný dokument nebo webovou stránku, odkaz na jiné místo v dokumentu, odkaz na e-mailovou adresu. Hypertextový odkaz jde

Více

11MAMY LS 2017/2018. Úvod do Matlabu. 21. února Skupina 01. reseni2.m a tak dále + M souborem zadané funkce z příkladu 3 + souborem skupina.

11MAMY LS 2017/2018. Úvod do Matlabu. 21. února Skupina 01. reseni2.m a tak dále + M souborem zadané funkce z příkladu 3 + souborem skupina. 11MAMY LS 2017/2018 Cvičení č. 2: 21. 2. 2018 Úvod do Matlabu. Jan Přikryl 21. února 2018 Po skupinách, na které jste se doufám rozdělili samostatně včera, vyřešte tak, jak nejlépe svedete, níže uvedená

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, Booleova algebra, De Morganovy zákony Student Předmět Ústav Úloha č. DIO - Digitální obvody Ústav mikroelektroniky Základní logická hradla, ooleova algebra, De Morganovy zákony Student Cíle Porozumění základním logickým hradlům NND, NOR a dalším,

Více

Automaty a gramatiky(bi-aag) Formální překlady. 5. Překladové konečné automaty. h(ε) = ε, h(xa) = h(x)h(a), x, x T, a T.

Automaty a gramatiky(bi-aag) Formální překlady. 5. Překladové konečné automaty. h(ε) = ε, h(xa) = h(x)h(a), x, x T, a T. BI-AAG (2011/2012) J. Holub: 5. Překladové konečné automaty p. 2/41 Formální překlady BI-AAG (2011/2012) J. Holub: 5. Překladové konečné automaty p. 4/41 Automaty a gramatiky(bi-aag) 5. Překladové konečné

Více

popel, glum & nepil 16/28

popel, glum & nepil 16/28 Lineární rezoluce další způsob zjemnění rezoluce; místo stromu směřujeme k lineární struktuře důkazu Lineární rezoluční odvození (důkaz) z Ë je posloupnost dvojic ¼ ¼ Ò Ò taková, že Ò ½ a 1. ¼ a všechna

Více

Logické řízení s logickým modulem LOGO!

Logické řízení s logickým modulem LOGO! Logické řízení s logickým modulem LOGO! Cíl: Seznámit se s programováním jednoduchého programovatelného automatu (logického modulu) LOGO! a vyzkoušet jeho funkčnost na konkrétních zapojeních. Úkol: 1)

Více

Měření pilového a sinusového průběhu pomocí digitálního osciloskopu

Měření pilového a sinusového průběhu pomocí digitálního osciloskopu Měření pilového a sinusového průběhu pomocí digitálního osciloskopu Úkol : 1. Změřte za pomoci digitálního osciloskopu průběh pilového signálu a zaznamenejte do protokolu : - čas t, po který trvá sestupná

Více

Teoretický úvod: [%] (1)

Teoretický úvod: [%] (1) Vyšší odborná škola a Střední průmyslová škola elektrotechnická Božetěchova 3, Olomouc Laboratoře elektrotechnických měření Název úlohy Číslo úlohy ZESILOVAČ OSCILÁTOR 101-4R Zadání 1. Podle přípravku

Více

Frekvenční charakteristika soustavy tří nádrží

Frekvenční charakteristika soustavy tří nádrží Popis úlohy: Spojené nádrže tvoří dohromady regulovanou soustavu. Přívod vody do nádrží je zajišťován čerpady P1a, P1b a P3 ovládaných pomocí veličin u 1a, u 1b a u 3, snímání výšky hladiny je prováděno

Více

Přerušovací systém s prioritním řetězem

Přerušovací systém s prioritním řetězem Přerušovací systém s prioritním řetězem Doplňující text pro přednášky z POT Úvod Přerušovací systém mikropočítače může být koncipován několika způsoby. Jednou z možností je přerušovací systém s prioritním

Více

Střední průmyslová škola elektrotechnická a informačních technologií Brno

Střední průmyslová škola elektrotechnická a informačních technologií Brno Střední průmyslová škola elektrotechnická a informačních technologií Brno Číslo a název projektu: CZ.1.7/1.5./34.521 Investice do vzdělání nesou nejvyšší úrok Autor: Ing. Bohumír Jánoš Tématická sada:

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

II. Úlohy na vložené cykly a podprogramy

II. Úlohy na vložené cykly a podprogramy II. Úlohy na vložené cykly a podprogramy Společné zadání pro příklady 1. - 10. začíná jednou ze dvou možností popisu vstupních dat. Je dána posloupnost (neboli řada) N reálných (resp. celočíselných) hodnot.

Více

MĚŘENÍ A ANALÝZA ELEKTROAKUSTICKÝCH SOUSTAV NA MODELECH. Petr Kopecký ČVUT, Fakulta elektrotechnická, Katedra Radioelektroniky

MĚŘENÍ A ANALÝZA ELEKTROAKUSTICKÝCH SOUSTAV NA MODELECH. Petr Kopecký ČVUT, Fakulta elektrotechnická, Katedra Radioelektroniky MĚŘENÍ A ANALÝZA ELEKTROAKUSTICKÝCH SOUSTAV NA MODELECH Petr Kopecký ČVUT, Fakulta elektrotechnická, Katedra Radioelektroniky Při návrhu elektroakustických soustav, ale i jiných systémů, je vhodné nejprve

Více

Algoritmus. Přesné znění definice algoritmu zní: Algoritmus je procedura proveditelná Turingovým strojem.

Algoritmus. Přesné znění definice algoritmu zní: Algoritmus je procedura proveditelná Turingovým strojem. Algoritmus Algoritmus je schematický postup pro řešení určitého druhu problémů, který je prováděn pomocí konečného množství přesně definovaných kroků. nebo Algoritmus lze definovat jako jednoznačně určenou

Více

Operační výzkum. Síťová analýza. Metoda CPM.

Operační výzkum. Síťová analýza. Metoda CPM. Operační výzkum Síťová analýza. Metoda CPM. Operační program Vzdělávání pro konkurenceschopnost Název projektu: Inovace magisterského studijního programu Fakulty ekonomiky a managementu Registrační číslo

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Úvod do modelování a simulace. Ing. Michal Dorda, Ph.D.

Úvod do modelování a simulace. Ing. Michal Dorda, Ph.D. Úvod do modelování a simulace systémů Ing. Michal Dorda, Ph.D. 1 Základní pojmy Systém systémem rozumíme množinu prvků (příznaků) a vazeb (relací) mezi nimi, která jako celek má určité vlastnosti. Množinu

Více

1/1 ČESKÁ ZEMĚDĚLSKÁ UNIVERZITA V PRAZE PROVOZNĚ EKONOMICKÁ FAKULTA PŘIJÍMACÍ ŘÍZENÍ 2017/2018

1/1 ČESKÁ ZEMĚDĚLSKÁ UNIVERZITA V PRAZE PROVOZNĚ EKONOMICKÁ FAKULTA PŘIJÍMACÍ ŘÍZENÍ 2017/2018 ČESKÁ ZEMĚDĚLSKÁ UNIVERZITA V PRAZE PROVOZNĚ EKONOMICKÁ FAKULTA PŘIJÍMACÍ ŘÍZENÍ 2017/2018 Informační technologie 1 - Doporučená doba zpracování: 40 minut 1) Termín DCL v relačně databázové technologii

Více

Testování a spolehlivost. 6. Laboratoř Ostatní spolehlivostní modely

Testování a spolehlivost. 6. Laboratoř Ostatní spolehlivostní modely Testování a spolehlivost ZS 2011/2012 6. Laboratoř Ostatní spolehlivostní modely Martin Daňhel Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Příprava studijního programu Informatika

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO

1 Zadání. 2 Teoretický úvod. 4. Generátory obdélníkového signálu a MKO 1 4. Generátory obdélníkového signálu a MKO 1 Zadání 1. Sestavte generátor s derivačními články a hradly NAND s uvedenými hodnotami rezistorů a kapacitorů. Zobrazte časové průběhy v důležitých uzlech.

Více

Stanovit nezbytná pravidla pro tvorbu dokumentace vytvářenou ve SITRONICS centru využitelnou firmou SITRONICS TS.

Stanovit nezbytná pravidla pro tvorbu dokumentace vytvářenou ve SITRONICS centru využitelnou firmou SITRONICS TS. Tvorba dokumentace SITRONICS centrum 1. Cíl Usnadnit tvorbu jednotné dokumentace SITRONICS centra. 2. Účel Stanovit nezbytná pravidla pro tvorbu dokumentace vytvářenou ve SITRONICS centru využitelnou firmou

Více

Systematická tvorba jízdního řádu 2. cvičení

Systematická tvorba jízdního řádu 2. cvičení Projektování dopravní obslužnosti Systematická tvorba jízdního řádu 2. cvičení Ing. Zdeněk Michl Ústav logistiky a managementu dopravy ČVUT v Praze Fakulta dopravní Rekapitulace zadání Je dána následující

Více

Testování kombinačních obvodů Intuitivní zcitlivění cesty, D-algoritmus

Testování kombinačních obvodů Intuitivní zcitlivění cesty, D-algoritmus Testování kombinačních obvodů Intuitivní zcitlivění cesty, D-algoritmus Testování a spolehlivost ZS 2/22, 2. přednáška Ing. Petr Fišer, Ph.D. Katedra číslicového návrhu Fakulta informačních technologií

Více

Řídicí struktury. alg3 1

Řídicí struktury. alg3 1 Řídicí struktury Řídicí struktura je programová konstrukce, která se skládá z dílčích příkazů a předepisuje pro ně způsob provedení Tři druhy řídicích struktur: posloupnost, předepisující postupné provedení

Více

Úvod do diagnostiky číslicových systémů. studijní text frekventantů předmětu PV171/3

Úvod do diagnostiky číslicových systémů. studijní text frekventantů předmětu PV171/3 Úvod do diagnostiky číslicových systémů studijní text frekventantů předmětu PV7/ Obsah Diagnostika číslicových systémů.... Poruchy číslicových systémů... 4.. Klasifikace poruch číslicových systémů... 4..

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Laboratorní úloha 7 Fázový závěs

Laboratorní úloha 7 Fázový závěs Zadání: Laboratorní úloha 7 Fázový závěs 1) Změřte regulační charakteristiku fázového závěsu. Změřené průběhy okomentujte. Jaký vliv má na dynamiku filtr s různými časovými konstantami? Cíl měření : 2)

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Vzdálenost uzlů v neorientovaném grafu

Vzdálenost uzlů v neorientovaném grafu Vzdálenosti a grafy Vzdálenost uzlů v neorientovaném grafu Je dán neorientovaný neohodnocený graf G = (V,E,I) vzdálenost uzlů u a v v neorientovaném souvislém grafu G je délka nejkratší cesty spojující

Více

6 Algebra blokových schémat

6 Algebra blokových schémat 6 Algebra blokových schémat Operátorovým přenosem jsme doposud popisovali chování jednotlivých dynamických členů. Nic nám však nebrání, abychom přenosem popsali dynamické vlastnosti složitějších obvodů,

Více

Konvolučníkódy. MI-AAK(Aritmetika a kódy)

Konvolučníkódy. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Konvolučníkódy c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

Operační zesilovač. Úloha A2: Úkoly: Nutné vstupní znalosti: Diagnostika a testování elektronických systémů

Operační zesilovač. Úloha A2: Úkoly: Nutné vstupní znalosti: Diagnostika a testování elektronických systémů Diagnostika a testování elektronických systémů Úloha A2: 1 Operační zesilovač Jméno: Datum: Obsah úlohy: Diagnostika chyb v dvoustupňovém operačním zesilovači Úkoly: 1) Nalezněte poruchy v operačním zesilovači

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

ZÁKLADY ELEKTROTECHNIKY pro OPT

ZÁKLADY ELEKTROTECHNIKY pro OPT ZÁKLADY ELEKTROTECHNIKY pro OPT Přednáška Rozsah předmětu: 24+24 z, zk 1 Literatura: [1] Uhlíř a kol.: Elektrické obvody a elektronika, FS ČVUT, 2007 [2] Pokorný a kol.: Elektrotechnika I., TF ČZU, 2003

Více

Střední průmyslová škola elektrotechnická a informačních technologií Brno

Střední průmyslová škola elektrotechnická a informačních technologií Brno Střední průmyslová škola elektrotechnická a informačních technologií Brno Číslo a název projektu: CZ.1.7/1.5./34.521 Investice do vzdělání nesou nejvyšší úrok Autor: Ing. Bohumír Jánoš Tematická sada:

Více

Modelová úloha Zabezpečení a správa budovy

Modelová úloha Zabezpečení a správa budovy Modelová úloha Zabezpečení a správa budovy Zadání 1. Seznamte se s funkcemi modelu Zabezpečení a správa budovy. 2. Seznamte se s možnostmi programu GB 060 Control Panel. 3. Ověřte funkčnost bezpečnostního

Více

Prezentace do předmětu Architektury a použití programovatelných obvodů 2

Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Prezentace do předmětu Architektury a použití programovatelných obvodů 2 Složité a méně běžné obvody PAL, sekvencery Obvody typu PAL jsou jedním z typů programovatelných logických obvodů (PLD). Jsou to

Více

Struktura e-learningových výukových programù a možnosti jejího využití

Struktura e-learningových výukových programù a možnosti jejího využití Struktura e-learningových výukových programù a možnosti jejího využití Jana Šarmanová Klíčová slova: e-learning, programovaná výuka, režimy učení Abstrakt: Autorská tvorba výukových studijních opor je

Více

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE FAKULTA STAVEBNÍ OBOR GEODÉZIE A KARTOGRAFIE KATEDRA VYŠŠÍ GEODÉZIE název předmětu úloha/zadání název úlohy Základy fyzikální geodézie 3/19 Legendreovy přidružené funkce

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

CVIČENÍ 4 Doc.Ing.Kateřina Hyniová, CSc. Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze 4.

CVIČENÍ 4 Doc.Ing.Kateřina Hyniová, CSc. Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze 4. CVIČENÍ POZNÁMKY. CVIČENÍ. Vazby mezi systémy. Bloková schémata.vazby mezi systémy a) paralelní vazba b) sériová vazba c) zpětná (antiparalelní) vazba. Vnější popis složitých systémů a) metoda postupného

Více

CVIČNÝ TEST 19. OBSAH I. Cvičný test 2. Mgr. Kateřina Nováková. II. Autorské řešení 5 III. Klíč 13 IV. Záznamový list 15

CVIČNÝ TEST 19. OBSAH I. Cvičný test 2. Mgr. Kateřina Nováková. II. Autorské řešení 5 III. Klíč 13 IV. Záznamový list 15 CVIČNÝ TEST 19 Mgr. Kateřina Nováková OBSAH I. Cvičný test 2 II. Autorské řešení 5 III. Klíč 13 IV. Záznamový list 15 I. CVIČNÝ TEST 1 Určete, kolikrát je rozdíl čísel 289 a 255 větší než jejich součet.

Více

9. Praktická verifikace

9. Praktická verifikace Fakulta informačních technologií MI-NFA, zimní semestr 2011/2012 Jan Schmidt 9. Praktická verifikace EVROPSKÝ SOCIÁLNÍ FOND PRAHA & EU: INVESTUJENE DO VAŠÍ BUDOUCNOSTI Pravidla, postupy Testovací prostředí

Více

Úvod do informatiky. Miroslav Kolařík

Úvod do informatiky. Miroslav Kolařík Úvod do informatiky přednáška desátá Miroslav Kolařík Zpracováno dle R. Bělohlávek, V. Vychodil: Diskrétní matematika 2, http://phoenix.inf.upol.cz/esf/ucebni/dm2.pdf P. Martinek: Základy teoretické informatiky,

Více