Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Rozměr: px
Začít zobrazení ze stránky:

Download "Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011"

Transkript

1 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) imní semestr 2/2 Jiří Douša, katedra číslicového návrhu (K83), České vysoké učení technické v Prae, fakulta informačních technologií Přednáška : VERILOG modelování struktur Stručný obsah: vestavěné primitivní elementy, jejich poždění a síly, sitě a strategie sil, vytváření struktur a hierarchických seskupení, mapování portů.

2 Vestavěné primitivní moduly senam typů elementárních logických modulů: primitivní moduly s jedním výstupem: and (o, i,..i n ), nand (o, i,..i n ), or (o, i,..i n ) nor (o, i,..i n ), or (o, i,..i n ), nor (o, i,..i n ) primitivní moduly s více výstupy. not (o,..o n, i )..invertující budič signálů o,..o n buf (o,..o n, i ). neinvertující budič signálů o,..o n třístavové budiče: bufif (o, i, c) třístavový budič spínaný bufif (o, i, c) třístavový budič spínaný notif (o, i, c )...invertující bufif notif (o, i, c )...invertující bufif aktuální výstup: musí být síť, aktuální vstup: reg nebo síť. Synta příkau instalace primitivního modulu: < typ logického členu> [ < síla> ] [ < poždění> ] [ < identifikátor logického členu > ] ( < senam připojených sítí či proměnných reg>, ) 2..2 MI-SIM Jiří Douša 2

3 Zpoždění primitivních modulů Specifikace poždění: < poždění > ::= < žádné> #(d) #( d, d2) #( d, d2, d3) #(d min : d typ, : d ma ), (d2 min : d2 typ, : d2 ma ), (d3 min :d3 typ, : d3 ma ) # ( d) společné poždění pro všechny možné měny výstupu, # ( d, d2 ) d: poždění náběžné hrany : ->, ->, ->, d2: poždění ávěrné hrany: ->, ->, ->, min (d, d2 ): poždění pro měny: ->, -> ->, ->, ->, ->, # ( d, d2, d3 ) d.poždění náběžné hrany: ->, ->, ->, d2.poždění ávěrné hrany: ->, ->, ->, d3.poždění vysoké impedance: ->, ->, -> min (d, d2, d3 ): poždění ->, ->, ->, každá hodnota d, d2, d3 je určena trojicí (d min : d typ, : d ma ) pro minimální, resp. typické, resp. maimální poždění. Příklady: instalace primitivních modulů and ( weak, pull ) # 2 A ( y, a, b, c, d ); and # ( 2, 5 ) A2 ( y, a, b, c, d ); bufif # ( 2, 5, 4 ) B ( y, a, c ); bufif # ( 2, 3, 4 ), ( 3, 4, 5 ), ( 4, 5, 6 ) B ( y, a, c ); 2..2 MI-SIM Jiří Douša 3

4 Síly primitivních modulů Síly primitivních modulů a budičů propojovacích sítí: identifikátor síly úroveň síly supply, 7 nejsilnější strong, 6 pull, 5 large, 4 poue pro síť typu trireg weak, 3 medium, 2 poue pro síť typu trireg small, poue pro síť typu trireg high, nejslabší high, nejslabší small, poue pro síť typu trireg medium, 2 poue pro síť typu trireg weak, 3 large 4 poue pro síť typu trireg pull, 5 strong, 6 supply, 7 nejsilnější 2..2 MI-SIM Jiří Douša 4

5 Funkční modely primitivních modulů 2..2 MI-SIM Jiří Douša 5 Příklady: reg a, b; wire y, y2, y3, y4; // předpokládané deklarace and (weak, strong) A ( y, a, b); // instalace modulu A typu and síly modulu a připojené sitě: St, We, 63X, HiZ, pravdivostní tabulka výstupu y ( musí být některá síť): or ( weak, pull ) O ( y2, a, b); // instalace modulu O typu or síly modulu a připojené sitě : We, Pu, 53X b a y b a y2

6 Funkční modely primitivních modulů Příklady: reg a,b; wire y, y2,y3,y4; not (supply, supply) N ( y3, a ); // instalace modulu N typu not síly modulu a připojené sitě : Su // vi síla budiče buf B ( y4, a ); síly modulu a připojené sitě : St // implicitní hodnota síly a y3 y4 bufif B ( y5, a, c ) ; // instalace modulu B typu bufif síly: St, HiZ c L L a H H y5 Ponámka: L = nebo, H = nebo MI-SIM Jiří Douša

7 Reoluční funkce sítí Příklad buení růných sítí několika budiči: wire c; wand d, e; // předpokládané deklarace sítí // následují 2 budiče sítě c (síly St) not N ( c, a ); not N2 ( c, b ); // následují 2 budiče sitě d (síly St) not N3 ( d, a ); not N4 ( d, b ); // následují 2 budiče sítě e: not (weak, strong) N5 ( e, a ); not (weak, strong) N6 ( e, b ); síť e má stejné hodnoty jako síť d síly sítě: We, St, 63X Ponámky: implicitní hodnota síly je strong, implicitní hodnota poždění = Pravděpodobnostní tabulka pro sítě c a d: a b c d 2..2 MI-SIM Jiří Douša 7

8 Sítě a strategie sil nepřipojená síť nemá sílu, síla připojené sítě je dána silou nejsilnějšího budiče, ) síť s jedním budičem: příka assign : implicitní síla strong nebo specifikace, mapování na výstupní porty primitivního modulu: síla vyplývá e specifikace příslušné instance, mapování na výstupní porty uživatelského modulu: síla dána silou portu buení portu proměnnou typu reg : implicitní síla strong, buení portu sítí: příkaem assign ( vi výše), primitivním modulem: (vi výše). 2) síť s více budiči: největší síla vítěí, v případě stejných sil se uplatní příslušná reoluční funkce. inicialiace sítě při deklaraci : musí být eplicitní specifikace síly, jde o trvale připojený budíč dané síly ( rodíl vůči VHDL) příklad: trvalý troj nuly síly weak wire ( strong, weak ) a = b; 2..2 MI-SIM Jiří Douša 8

9 Sítě a strategie sil Příklady: module M2 (output reg y, input wire ); y = ~ ; module M3 (output y, input wire ); not (weak, weak) n7 (y,); module M4 (output y, input wire ); not (supply, pull) n7 (y,); module M5 (output y, input wire ); assign y = ; module ukaka reg clk = ; always # 5 clk = ~ clk; // síla ST wire (supply, weak) a9 = 'b; assign a9 = 'b; // hodnota 76X: if = then a9=su else a9=st wire ; // assign = supply; // nele 2..2 MI-SIM Jiří Douša 9

10 Sítě a strategie sil // pokračování modulu ukáka supply s; supply s; // hodnota Su resp. Su assign s = ; // be vlivu na hodnotu Su assign a72 = 'b; // hodnota HiZ assign a73 = 'b; // hodnota St assign a74 = 'b; // hodnota St assign a75 = 'b; // hodnota StX wire a3, a3, a32, a33, a34; not (weak, weak) n7 ( a3,clk); // a3 We nebo We not (pull, pull) n7 (a35,clk); // a35 Pu nebo Pu assign a3 = a3; // a3 St nebo St assign a35 = a35; // a35 St nebo St) M2 m2 (a3, clk); // a3 St nebo St (bueni reg) M3 m3 (a32, clk); // a32 We nebo We (vnitrni not Weak) M4 m4 (a33, clk); // a33 Pu nebo Su(vnitrni not) M5 m5 (a34, clk); // a34 St, vnitrni assign assign a33 = a33; // a33 St assign (pull, weak) a34 = a33; // a34 Pu nebo We 2..2 MI-SIM Jiří Douša

11 Sítě a strategie sil wire a4, a4, a42; wire a43 = 'b; // trvale St assign a4 = 'b; assign a4 = 'b; // trvale St resp. St assign a42 = a4; assign a42 = a4; // a42 trvale StX wire (weak,weak) a5 = 'b; assign a5 = 'b; // a5 trvale St wire (supply, weak) a5 = 'b; // trvale Su assign a5 = 'b; // a5 trvale Su wire a52, a53, a54, a55, a56; bufif (a52,a5,a3); bufif (a53,a5,clk); // a52 HiZ nebo St // a53 HiZ nebo St // poor: a75 nebyl dosud deklarován => hodnota StX bufif (a54,clk,a75 ); // StL= St nebo StZ pro clk= // StH= St nebo StZ pro clk= bufif (supply, weak) (a55,clk,a75 ); // WeL (pro clk=), SuH (pro clk=) 2..2 MI-SIM Jiří Douša

12 Instalace uživatelských modulů Synta instalace : < typ modulu > # ( senam aktuálních parametrů ) < identifikátor instance modulu > ( < senam připojených sítí> ) ; Příklad: předpoklad: eistuje modul ALU s následující hlavičkou: module ALU # ( parameter sire = 8 ) ( output y [ sire- : ], input [ sire- : ], a, b, input contrl );. ; wire [ 5: ],, y ; // sítě pro mapování výstupů a vstupu instance // typu typu ALU wire f; // síť pro mapování řídícího vstupu instance typu ALU // následuje jmenné mapování parametrů a poiční mapování portů // instance alu typu ALU # ( (.sire = (6 ) ) // varianta s jmenným mapování parametrů pro alu, // # ( 6 ) ) odpovídá poičnímu mapování parametrů pro alu, alu (,, y, f ); // varianta s poičním mapování portů pro alu MI-SIM Jiří Douša 2

13 Specifikace struktur Příklad: strukturní popis půlsčítačky module Half_adder ( sum, c_out, a, b ); // formální porty input a, b; // specifikace vstupních portů (typ wire) output sum, cout; // specifikace výstupních portů (typ wire) or X (sum, a, b); // poiční mapování portů and A (c_out, a, b ); // poiční mapování portů jiná přípustná verse deklarace modulu (poue pro V) module Half_adder ( output sum, c_out, input a, b ); // specif. portů or X (sum, a, b); // síla = strong, poždění = and A (c_out, a, b ); Příklad: strukturní popis úplné binární sčítačky module Full_adder ( output sum, c_out, input a, b, c_in ); wire c, c2, c3; // lokální vodiče Half_adder AD ( c, c2, a, b ); // instalace submodulu Half_adder AD2 ( sum, c3, c_in, c); // akt. & form. porty or ( c_out, c2, c3 ); 2..2 MI-SIM Jiří Douša 3

14 Formální a aktuální porty Omeení formálních portů modulu: formální vstupní porty: proměnné typu sítˇ formální výstupní porty: proměnné typu sítˇ nebo register nebo integer buení portů v paralelním prostředí: sítˇ, buení portů v sekvenčním prostředí: reg, integer formální vstupně-výstupní porty: typu sítˇ nele deklarovat žádný port typu real Omeení pro mapování formálních a aktuálních portů: aktuální výstupní port (příjímá výstupy modulu): proměnná typu sítˇ, aktuální vstupní port( přivádí aktuální vstupy modulu): proměnná typu sítˇ nebo reg nebo integer, aktuální vstupně-výstupní port: proměnná typu sítˇ, Aktuální port: net / reg /integer net net Formální port: net net / reg / integer net vnitřní module mapování v paralelním prostředí 2..2 MI-SIM Jiří Douša 4

15 Specifikace formálních portů Příklad: ukáka specifikace portů pro V95 module Adder (sum, c_out, a, b, c_in); // poue vyjmenování portů; output [3 : ] sum ; // specifikace směru output c_out; input [3 : ] a, b ; input c_in ; reg [3 : ] sum ; //typ reg (pro buení v sekvenčním prostředí) reg c_out; wire [3 : ] a, b ;// typ wire (pro buení v paralelním prostředí) wire c_in ; Příklad: ukáky možných specifikací portů pro V module Adder ( sum, c_out, a, b, c_in ); output reg [3 : ] sum ; // porty typu reg output reg c_out input wire [3 : ] a, b ; // porty typu wire input wire c_in ;.. jiná možnost pro V: module Adder ( output reg [3 : ] sum, output reg c_out, input wire [3 : ] a, b, input wire c_in ) ;..; 2..2 MI-SIM Jiří Douša 5

16 Způsoby mapování portů Ponámka: dílčí moduly mohou být specifikovány v samostatných souborech Vájemné propojení modulů: poiční aktuální porty jsou přiřaeny formálním portům dle jejich pořadí, jmenné eplicitně uvádí přiřaení na ákladě jejich jmen ( neáleží na jejich pořadí ) :. <formálni port> ( <aktuální port> ) Příklad: hierarchický strukturní model čtyřbitové sčítačky module Adder_4 ( output [ 3 : ] sum, output c_out, input [ 3 : ] a, b, input c_in ) ; wire c3, c2, c; // přenosy uvnitř sekcí Full_adder FA ( sum [], c, a [], b[], c_in ); Full_adder FA ( sum [], c2, a [], b[], c ); Full_adder FA2 ( sum [2], c3, a [2], b[2], c2 ); Full_adder FA3 ( sum [3], c_out, a [3], b[3], c3 ); 2..2 MI-SIM Jiří Douša 6

17 Ukáky strukturních modulů Příklad: strukturní model šestnáctibitové sčítačky module Adder_6 ( output [ 5: ] sum, output c_out, input [5 : ] a, b, input c_in ) ; wire c2, c8, c4; // přenosy mei sekcemi Adder_4 S ( sum [3 : ], c4, a [3 : ], b[3 : ], c_in ); Adder_4 S ( sum [7 : 4], c8, a [7 : 4], b[7 : 4], c4 ); Adder_4 S2 ( sum [ : 8], c2, a [ : 8], b[ : 8], c8 ); Adder_4 S3 ( sum [5 : 2], c_out, a [5 : 2], b[5 : 2], c2 ); Příklad: strukturní model čtyřbitové sčítačky // použito jmenné mapování module Adder_4 ( output [ 3 : ] sum, output c_out, input [3 : ] a, b, input c_in ) ; wire c3, c2, c; // přenosy uvnitř sekcí Full_adder FA (.sum ( sum [] ),.c_out (c),.a ( a [] ),.b ( b[] ),.c_in (c_in) ); Full_adder FA (.sum ( sum [] ),.c_out (c2),.a ( a [] ),.b ( b[] ),.c_in (c) ); Full_adder FA2 (.sum ( sum [2] ),.c_out (c3),.a ( a [2] ),.b ( b[2] ),.c_in (c2) ); Full_adder FA3 (.sum ( sum [3] ),.c_out (c_out),.a ( a [3] ),.b ( b[3] ),.c_in ( c3 ) ); 2..2 MI-SIM Jiří Douša 7

18 Vícenásobná instalace dílčích modulů možnosti: pole instancí, příka generate, Příklad: paralelní čtyřbitová sčítačka varianta : // použito pole jednobitových sčítaček module Adder_4 ( output [ 3 : ] sum, output c_out, input [3 : ] a, b, input c_in ) ; wire c3, c2, c; // přenosy uvnitř sekce Full_adder FA [ 3 : ] ( sum, { c_out, c3, c2, c }, a, b, { c3, c2, c, c_in } ) ; varianta 2: // použit příka generate module Adder_42 ( output [ 3 : ] sum, output c_out, input [3 : ] a, b, input c_in ) ; wire [ 4: ] c; // přenosy uvnitř sekce genvar i; // pro příka generate assign c[ ] = c_in, c_out = c [ 4 ]; // odstraní nepravidelnosti generate for ( i = ; i < 4 ; i = i + ) begin : FAA // povinný label Full_adder FA ( sum [ i ], c [ i + ], a [ i ], b [ i ], c [ i ] ) ; end endgenerate 2..2 MI-SIM Jiří Douša 8

19 Vícenásobná instalace dílčích modulů varianta 3: parametriovaná šíře, podmíněná i nepodmíněná instalace modulu uvnitř příkau generate module # ( sire = 4 ) // parametr V Adder_43 ( output [ sire - : ] sum, output c_out, input [ sire - : ] a, b, input c_in ) ; wire [ sire - : ] c; // přenosy uvnitř sekcí genvar i; // pro příka generate generate for ( i = ; i < sire ; i = i + ) begin : FAA // povinný label if ( i == ) Full_adder FA ( sum [ i ], c [ i + ], a [ i ], b [ i ], c_in ) ; else if ( i == sire - ) Full_adder FA ( sum [ i ], c_out, a [ i ], b [ i ], c [ i ] ); else Full_adder FA ( sum [ i ], c [ i + ], a [ i ], b [ i ], c [ i ] ); end endgenerate 2..2 MI-SIM Jiří Douša 9

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Konfigurace portů u mikrokontrolérů

Konfigurace portů u mikrokontrolérů Konfigurace portů u mikrokontrolérů Porty u MCU Většina vývodů MCU má podle konfigurace některou z více funkcí. K přepnutí funkce dochází většinou automaticky aktivováním příslušné jednotky. Základní konfigurace

Více

14. Složitější konstrukce

14. Složitější konstrukce Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL konstanty, signály a proměnné Jazyk VHDL paralelní a sekvenční doména Kurz A0B38FPGA

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

12. VHDL pro verifikaci - Testbench I

12. VHDL pro verifikaci - Testbench I Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti 12. VHDL pro verifikaci - Testbench I Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Struktura a architektura počítačů (BI-SAP) 10

Struktura a architektura počítačů (BI-SAP) 10 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 10 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

KOMBINAČNÍ LOGICKÉ OBVODY

KOMBINAČNÍ LOGICKÉ OBVODY Projekt: Inovace oboru Mechatronik pro Zlínský kraj Registrační číslo: CZ.1.07/1.1.08/03.0009 KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je vstup určen jen výhradně kombinací vstupních veličin. Hodnoty

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Systém řízení sběrnice

Systém řízení sběrnice Systém řízení sběrnice Sběrnice je komunikační cesta, která spojuje dvě či více zařízení. V určitý okamžik je možné aby pouze jedno z připojených zařízení vložilo na sběrnici data. Vložená data pak mohou

Více

Sčítačky Válcový posouvač. Demonstrační cvičení 6

Sčítačky Válcový posouvač. Demonstrační cvičení 6 Sčítačky Válcový posouvač INP Demonstrační cvičení 6 Poloviční sčítačka (Half Adder) A B S C 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 A B HA S C S: A C: A 0 1 0 0 1 0 B 0 1 B S

Více

Programovací jazyk Pascal

Programovací jazyk Pascal Programovací jazyk Pascal Syntaktická pravidla (syntaxe jazyka) přesná pravidla pro zápis příkazů Sémantická pravidla (sémantika jazyka) pravidla, která každému příkazu přiřadí přesný význam Všechny konstrukce

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

Čtvrtek 8. prosince. Pascal - opakování základů. Struktura programu:

Čtvrtek 8. prosince. Pascal - opakování základů. Struktura programu: Čtvrtek 8 prosince Pascal - opakování základů Struktura programu: 1 hlavička obsahuje název programu, použité programové jednotky (knihovny), definice konstant, deklarace proměnných, všechny použité procedury

Více

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL

PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL PROGRAMOVATELNÁ LOGICKÁ POLE A JAZYKY HDL Doc. Ing. Jaromír Kolouch, CSc. Ústav radioelektroniky FEKT VUT v Brně, Purkyňova 118, kolouch@feec.vutbr.cz Přednáška má přinést informaci o současném stavu v

Více

NPRG030 Programování I, 2015/16 1 / :25:32

NPRG030 Programování I, 2015/16 1 / :25:32 NPRG030 Programování I, 2015/16 1 / 21 22. 10. 2015 13:25:32 Podprogramy Příklad: Vytiskněte tabulku malé násobilky ve tvaru XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX X X 1 2 3 4 5 6 7 8 9 10 X

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Velmi zjednodušený úvod

Velmi zjednodušený úvod Velmi zjednodušený úvod Výroková logika: A, B, C - výroky. Booleova algebra Výroky nabývají hodnot Pravdivý a Nepravdivý. C = A B A B Booleova algebra: a, b, c - logické (Booleovské) proměnné. Logické

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Název projektu: EU peníze školám. Základní škola, Hradec Králové, M. Horákové 258

Název projektu: EU peníze školám. Základní škola, Hradec Králové, M. Horákové 258 Název projektu: EU peníze školám Registrační číslo projektu: CZ.1.07/1.4.00/21.2575 Základní škola, Hradec Králové, M. Horákové 258 Téma: Elektronika Název: VY_32_INOVACE_04_02B_24.Stavebnice - Logické

Více

Programy v prostředí operačního systému

Programy v prostředí operačního systému .. Programy v prostředí operačního systému Programovací techniky doc. Ing. Jiří Rybička, Dr. ústav informatiky PEF MENDELU v Brně rybicka@mendelu.cz Standardní soubory Programovací techniky Programy v

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

dovolují dělení velkých úloh na menší = dekompozice

dovolují dělení velkých úloh na menší = dekompozice Podprogramy dovolují dělení velkých úloh na menší = dekompozice Příklad: Vytiskněte tabulku malé násobilky ve tvaru XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX X X 1 2 3 4 5 6 7 8 9 10 X XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

1 Stručný popis jazyku VHDL

1 Stručný popis jazyku VHDL 1 Stručný popis jazyku VHDL Jazyk VHDL (Very High Speed Integrated Circuits Hardware Description Language) je spolu s jazykem Verilog HDL jedním z nejpoužívanějším jazykům pro popis hardwarových struktur

Více

Struktura a architektura počítačů (BI-SAP) 6

Struktura a architektura počítačů (BI-SAP) 6 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 6 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL zápis čísel, znaků a řetězců. Jazyk VHDL základní datové typy a operátory. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL zápis čísel, znaků a řetězců Jazyk VHDL základní datové typy a operátory Kurz

Více

Násobičky, Boothovo překódování. Demonstrační cvičení 7

Násobičky, Boothovo překódování. Demonstrační cvičení 7 Násobičky, Boothovo překódování INP Demonstrační cvičení 7 Obsah Princip násobení Sekvenční a kombinační násobička Kombinační násobičky ve VHDL Násobení se znaménkem (FX) Boothovo překódování, VHDL Násobení

Více

Knihovna ServoLib Řízení servopohonu bez zpětné vazby. TXV 003 50.01 první vydání březen 2009 změny vyhrazeny

Knihovna ServoLib Řízení servopohonu bez zpětné vazby. TXV 003 50.01 první vydání březen 2009 změny vyhrazeny Knihovna ServoLib Řízení servopohonu bez zpětné vazby TXV 3 5.1 první vydání březen 29 změny vyhrazeny Historie změn Datum Vydání Popis změn Březen 29 1 První vydání OBSAH 1 Úvod...3 1.1 Servopohony s

Více

type Obdelnik = array [1..3, 1..4] of integer; var M: Obdelnik;

type Obdelnik = array [1..3, 1..4] of integer; var M: Obdelnik; Vícerozměrné pole type Obdelnik = array [1..3, 1..4] of integer; var M: Obdelnik; M[2,3] := 3145; - počet indexů není omezen (v praxi obvykle nejvýše tři) - více indexů pomalejší přístup k prvku (počítá

Více

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ

MODERNIZACE VÝUKY PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Projekt: MODERNIZCE VÝUK PŘEDMĚTU ELEKTRICKÁ MĚŘENÍ Úloha: Měření kombinačních logických funkcí kombinační logický obvod XOR neboli EXLUSIV OR Obor: Elektrikář slaboproud Ročník: 3. Zpracoval: Ing. Jiří

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

10. MAPOVÁNÍ BINÁRNÍCH A ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ

10. MAPOVÁNÍ BINÁRNÍCH A ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ 10. MAPOVÁNÍ BINÁRNÍCH A ANALOGOVÝCH VSTUPŮ A VÝSTUPŮ 10.1 Princip mapování Mapování vstupů a výstupů umožňuje přiřazovat fyzické vstupy a výstupy pro periferie MEFI k PLC programu jen na základě konfigurace

Více

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér

Více

Přídavný modul modulárního vývojového systému MVS

Přídavný modul modulárního vývojového systému MVS Modul 8 LED diod a 8 tlačítek v2.0 Přídavný modul modulárního vývojového systému MVS Uživatelský manuál Verze dokumentu 1.0 (01.04.2007) Obsah 1 Upozornění...3 2 Úvod...4 2.1 Vlastnosti modulu...4 2.2

Více

Pokročilé využití jazyka VHDL. Pavel Lafata

Pokročilé využití jazyka VHDL. Pavel Lafata Pokročilé využití jazyka VHDL Pavel Lafata Autor: Pavel Lafata Název díla: Pokročilé využití jazyka VHDL Zpracoval(a): České vysoké učení technické v Praze Fakulta elektrotechnická Kontaktní adresa: Technická

Více

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu

Organizace předmětu, podmínky pro získání klasifikovaného zápočtu ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Organizace předmětu, podmínky pro získání klasifikovaného zápočtu Kurz A0B38FPGA Aplikace

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Open-Source nástroje pro práci s FPGA

Open-Source nástroje pro práci s FPGA 6. Listopad, 2016 Marek Vasut Software engineer at DENX S.E. since 2011 Embedded and Real-Time Systems Services, Linux kernel and driver development, U-Boot development, consulting, training Versatile

Více

Základní znaky. - Ve srovnání se Spice jsou velmi složité a vyžadují dlouhou dobu na plné osvojení. - Velmi nákladné simulační programy.

Základní znaky. - Ve srovnání se Spice jsou velmi složité a vyžadují dlouhou dobu na plné osvojení. - Velmi nákladné simulační programy. VHDL-AMS Počátek jazyků HDL sahá do šedesátých let. V průběhu doby vznikla celá řada jazyků FAS (Anacad 1988), SpetreHDL (Cadence 94), MAST (Analogy 1986) a jiné. V současné době hrají největší roli jazyky

Více

Logické operace. Datový typ bool. Relační operátory. Logické operátory. IAJCE Přednáška č. 3. může nabýt hodnot: o true o false

Logické operace. Datový typ bool. Relační operátory. Logické operátory. IAJCE Přednáška č. 3. může nabýt hodnot: o true o false Logické operace Datový typ bool může nabýt hodnot: o true o false Relační operátory pravda, 1, nepravda, 0, hodnoty všech primitivních datových typů (int, double ) jsou uspořádané lze je porovnávat binární

Více

Pascal. Katedra aplikované kybernetiky. Ing. Miroslav Vavroušek. Verze 7

Pascal. Katedra aplikované kybernetiky. Ing. Miroslav Vavroušek. Verze 7 Pascal Katedra aplikované kybernetiky Ing. Miroslav Vavroušek Verze 7 Proměnné Proměnná uchovává nějakou informaci potřebnou pro práci programu. Má ve svém oboru platnosti unikátní jméno. (Připadne, musí

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C.

GFK-1913-CZ Prosinec 2001. Rozměry pouzdra (šířka x výška x hloubka) Připojení. Skladovací teplota -25 C až +85 C. Modul slouží pro výstup digitálních signálů 24 Vss. Specifikace modulu Rozměry pouzdra (šířka x výška x hloubka) Připojení 48,8 mm x 120 mm x 71,5 mm dvou- a třídrátové Provozní teplota -25 C až +55 C

Více

Chapter Základní principy simulace :).

Chapter Základní principy simulace :). Chapter 1 Simulace číslicových obvodů 1.1 Základní principy simulace V doporučeních firmy Xilinx, kde píší jakým způsobem navrhovat: pozor, vyhněte se asynchronnímu návrhu, pokud se tomu nevyhnete, zlikviduje

Více

Obsah přednášky. programovacího jazyka. Motivace. Princip denotační sémantiky Sémantické funkce Výrazy Příkazy Vstup a výstup Kontinuace Program

Obsah přednášky. programovacího jazyka. Motivace. Princip denotační sémantiky Sémantické funkce Výrazy Příkazy Vstup a výstup Kontinuace Program Denotační sémantika programovacího jazyka doc. Dr. Ing. Miroslav Beneš katedra informatiky, A-1007 59 732 4213 Obsah přednášky Princip denotační sémantiky Sémantické funkce Výrazy Příkazy Vstup a výstup

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Násobení. MI-AAK(Aritmetika a kódy)

Násobení. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Násobení c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

Metody připojování periferií BI-MPP Přednáška 1

Metody připojování periferií BI-MPP Přednáška 1 Metody připojování periferií BI-MPP Přednáška 1 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011

Více

Vestavné systémy BI-VES Přednáška 5

Vestavné systémy BI-VES Přednáška 5 Vestavné systémy BI-VES Přednáška 5 Ing. Miroslav Skrbek, Ph.D. Katedra počítačových systémů Fakulta informačních technologií České vysoké učení technické v Praze Miroslav Skrbek 2010,2011 ZS2010/11 Evropský

Více

1 z 9 9.6.2008 13:27

1 z 9 9.6.2008 13:27 1 z 9 9.6.2008 13:27 Test: "TVY_KLO" Otázka č. 1 Převodníku je: kombinační logický obvod, který převádí jeden binární kód do druhého Odpověď B: obvod, pomocí kterého můžeme převádět číslo z jedné soustavy

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 11 METODY VERIFIKACE SYSTÉMŮ NA ČIPU Hana Kubátov vá doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta 1 informačních

Více

Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů

Testování a spolehlivost. 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Testování a spolehlivost ZS 2011/2012 3. Laboratoř Program Atalanta, BIST, testování sekvenčních obvodů Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Příprava studijního programu

Více

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody

Integrované obvody. Obvody malé, střední a velké integrace Programovatelné obvody Integrované obvody Obvody malé, střední a velké integrace Programovatelné obvody Integrovaný obvod zkratka: IO anglický termín: integrated circuit = IC Co to je? elekrotechnická součástka na malé ploše

Více

mové techniky budov Osnova Základy logického Druhy signálů

mové techniky budov Osnova Základy logického Druhy signálů Základy Systémov mové techniky budov Základy logického řízení Ing. Jan Vaňuš N 716 tel.: 59 699 1509 email: jan.vanus vanus@vsb.czvsb.cz http://sweb sweb.cz/jan.vanus Druhy signálů, Osnova, základní dělení

Více

Testování a spolehlivost. 1. Laboratoř Poruchy v číslicových obvodech

Testování a spolehlivost. 1. Laboratoř Poruchy v číslicových obvodech Testování a spolehlivost ZS 2011/2012 1. Laboratoř Poruchy v číslicových obvodech Martin Daňhel Katedra číslicového návrhu Fakulta informačních technologií ČVUT v PRaze Příprava studijního programu Informatika

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y. Rovnicí y = x 1. x 0. Přiřazení signálů: ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Základy digitální techniky

Základy digitální techniky Základy digitální techniky Binarna aritmetika. Tabulky Karno. Operace logické a aritmetické; Binarna aritmetika. č. soust zákl. Abeceda zápis čísla binarní B=2 a={0,1} 1100 oktalová B=8 a={0,1,2,3,4,5,6,7}

Více

2) Napište algoritmus pro vložení položky na konec dvousměrného seznamu. 3) Napište algoritmus pro vyhledání položky v binárním stromu.

2) Napište algoritmus pro vložení položky na konec dvousměrného seznamu. 3) Napište algoritmus pro vyhledání položky v binárním stromu. Informatika 10. 9. 2013 Jméno a příjmení Rodné číslo 1) Napište algoritmus pro rychlé třídění (quicksort). 2) Napište algoritmus pro vložení položky na konec dvousměrného seznamu. 3) Napište algoritmus

Více

Představení diagnostiky počítačů

Představení diagnostiky počítačů Představení diagnostiky počítačů Diagnostika počítačů cvičení 1 Agenda Základní pojmy Porucha t 0 a t 1 Hledání kontrolních bodů při testování kombinačních obvodů, úplný test Metoda intuitivního zcitlivění

Více

Úvod do programovacích jazyků (Java)

Úvod do programovacích jazyků (Java) Úvod do programovacích jazyků (Java) Michal Krátký Katedra informatiky VŠB Technická univerzita Ostrava Úvod do programovacích jazyků (Java), 2007/2008 c 2006 2008 Michal Krátký Úvod do programovacích

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní

Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Boundary Scan JTAG (Joined Test Action Group) IEEE 1149.X Zápis do rozhraní Testování obvodů přístup k obvodům omezen porty / vývody In-Circuit Testery (Bed of Nails) Fine Pitch / MCM Multilayer Coating

Více

NPRG030 Programování I RNDr.Tomáš Holan, Ph.D. 4.patro, č

NPRG030 Programování I RNDr.Tomáš Holan, Ph.D. 4.patro, č NPRG030 Programování I RNDr.Tomáš Holan, Ph.D. 4.patro, č.404 http://ksvi.mff.cuni.cz/~holan/ Tomas.Holan@mff.cuni.cz NPRG030 Programování I, 2014/15 1 / 37 6. 10. 2014 11:42:59 NPRG030 Programování I,

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více