Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Rozměr: px
Začít zobrazení ze stránky:

Download "Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu"

Transkript

1 MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory pro výkonové systémy 3 Ver..2 J. Zděnek, 23

2 Logcký sekvenční obvod Logcký sekvenční obvod (LO) popsán stavovým dagramem, rovncem, tabulkam, HL jazykem Vstupní, výstupní vnřní ( ) proměnné nabývají pouze hodnot nebo Vstupní stav I O Výstupní stav x LO y x n k y m Vntřní stav Hodnoty všech výstupních proměnných jsou v každém časovém okamžku určeny hodnotam vstupních proměnných ve stejném okamžku ale též hodnotam vstupních proměnných předcházejících (LO má vntřní paměť) A7B4AP truktura a archtektura počítačů 2

3 Konečný automat (FA), přechodová a výstupní funkce Abstraktní model sekvenčního obvodu konečný automat (FA Fnte tate Automaton nebo také FM Fnte tate Machne) Konečný automat konečný počet vstupních, vntřních a výstupních stavů anou kombnac vstupních, vntřních a výstupních proměnných nazveme vstupní, vntřní a výstupní stav a označíme I,, O k j Přechodová funkce: f (, I t t t ) t t,, I t vntřní následující, vntřní a vstupní současný stav Výstupní funkce: t O g( t, I t ) t t O,, I t výstupní, vntřní a vstupní současný stav AB4MI Mkroprocesory pro výkonové systémy 3 3

4 Obecný model logckého sekvenčního obvodu (Huffmann) LO - Logcký sekvenční obvod t O g( t, I t ) I x,,x Logcký kombnační obvod O y,,y j t t f (, I t ) Paměťová část,, k AB4MI Mkroprocesory pro výkonové systémy 3 4

5 Rozdělení LO Podle časové reakce na změnu vstupních proměnných Asynchronní změna stavu LO po změně vstupních proměnných hned (resp. s malým zpožděním v důsledku reakce vntřních obvodů LO). ynchronní změna stavu LO synchronzována vnějším synchronzačním mpulsy (tzv. hodny, clock). LO jsou navrhovány většnou jako synchronní snadnější kontrola vntřních sgnálů LO. Podle způsobu výpočtu přechodové a výstupní funkce LKO Automat typu Mealy Automat typu Moore Autonomní automat (např. čítače, ) f (, I t t t f (, I t t t f ( t t ) ) ) t O g( O t g( t O t g( t t, I ) ) t ) AB4MI Mkroprocesory pro výkonové systémy 3 5

6 ynchronní FA typu Mealy f (, I t t t ) t O g( t, I t ) Budcí funkce tavový regstr Logka výstupů LKO LKO2 O C I AB4MI Mkroprocesory pro výkonové systémy 3 6

7 ynchronní FA typu Moore f (, I t t t ) O t g( t ) Budcí funkce tavový regstr Logka výstupů LKO LKO2 O C I AB4MI Mkroprocesory pro výkonové systémy 3 7

8 ynchronní FA autonomní f ( t t ) O t g( t ) Budcí funkce tavový regstr Logka výstupů LKO LKO2 O C AB4MI Mkroprocesory pro výkonové systémy 3 8

9 Forma popsu LO (FA) tavovým dagramem (tate dagram) forma orentovaného grafu oustavou rovnc Tabulkam přechodů a výstupů Některým programovacím jazykem Vyjmenováním všech posloupností vstupů a výstupů (TO NE) nepraktcké nepoužívá se, vstupní posloupnost může být nekonečné délky AB4MI Mkroprocesory pro výkonové systémy 3 9

10 Formy popsu FA typu Mealy tavový dagram Přechodová a výstupní funkce Přechod I / = / oučasný výskyt stavu a vstupu Přechod ze stavu v čase t do t+ Okamžté vytvoření výstupu : I / = / tav I / = / 2 I / = / I / = / I / = / I j k I I I I 2 I : I j O k : O : O I I : O I : O Vstup Výstup (umístěn u hran) 2 I 2 2 I I 2 : O I 2 : O AB4MI Mkroprocesory pro výkonové systémy 3

11 Formy popsu FA typu Mealy tavový dagram Tabulky přechodů a výstupů Přechod I / = / Tabulka přechodů I I I / = / I / = / 2 I / = / 2 2 I / = / 2 Tabulka výstupů tav Vstup I / = / Výstup (umístěn u hran) I I O O O O 2 O O AB4MI Mkroprocesory pro výkonové systémy 3

12 Formy popsu FA typu Moore tavový dagram Přechodová a výstupní funkce I oučasný výstkyt stavu a vstupu Přechod ze stavu v čase t do t+ Okamžté vytvoření výstupu : I I I j k : O k tav Vstup 3 I I I 2 I I Výstup (umístěn u uzlu) I I I I 2 2 I 2 2 I 3 3 I 3 I : O : O : O 2 : O 3 AB4MI Mkroprocesory pro výkonové systémy 3 2

13 Formy popsu FA typu Moore tavový dagram Tabulky přechodů a výstupů I Tabulka přechodů I I I I 2 3 I I 3 I 2 I Tabulka výstupů O tav Vstup I Výstup (umístěn u uzlu) O O 2 O 3 O AB4MI Mkroprocesory pro výkonové systémy 3 3

14 Postup návrhu logckého sekvenčního obvodu (schema) Návrh obvodového řešení zápsem schematu Formulace zadání slovní pops tavový dagram (orentovaný graf přechodů a výstupů) Tabulky přechodů a výstupů Kódování vntřních stavů a výstupů Zakódované tabulky přechodů a výstupů Budící funkce a funkce výstupů Mnmalzace budící funkce a funkce výstupů (K mapy) Návrh z hradel (z požadovaných typů) schema [Logcká smulace] Realzace z hradel [Časová smulace po realzac (umístění do hradlového pole)] Výpočet (ověření) maxmální povolené frekvence synchronzačního sgnálu (následující přednáška) Ověření v aplkac AB4MI Mkroprocesory pro výkonové systémy 3 4

15 Postup návrhu logckého sekvenčního obvodu (HL) Návrh obvodového řešení zápsem v HL (VHL, Verlog) Formulace zadání slovní pops tavový dagram (orentovaný graf přechodů) Záps programu v HL (Hardware escrpton Language) yntéza zapojení (překlad programu v HL) [Logcká smulace] Realzace z hradel (z prostředků hradlového pole)(place & Route) [Časová smulace po realzac (umístění do hradlového pole)] Výpočet (ověření) maxmální povolené frekvence synchronzačního sgnálu (následující přednáška) Ověření v aplkac AB4MI Mkroprocesory pro výkonové systémy 3 5

16 AB4MI Mkroprocesory pro výkonové systémy 3 6 Paměťový člen záchytný regstr (Latch) R R!!! R Latch (NAN) R!!! R Latch (NOR) R!!! zakázaný stav

17 Paměťový člen záchytný regstr (Latch) R Clk X nezáleží R Latch (Clock enable) R Clk!!! X X!!! zakázaný stav AB4MI Mkroprocesory pro výkonové systémy 3 7

18 Paměťový člen záchytný regstr ( Latch) ymbol C Clk X nezáleží Latch (Clock enable) Clk X AB4MI Mkroprocesory pro výkonové systémy 3 8

19 Paměťový člen klopný obvod ( Flp-Flop) ymbol Clk záps řízený náběžnou hranou Flp-Flop Clk X X AB4MI Mkroprocesory pro výkonové systémy 3 9

20 Paměťový člen klopný obvod ( Flp-Flop) et ymbol ET CLR Clk Reset záps řízený náběžnou hranou asynchronní nulování/nastavení Flp-Flop Reset et Clk X X X X X X AB4MI Mkroprocesory pro výkonové systémy 3 2

21 ynchronní 2btový bnární čítač Navrhněte synchronní konečný automat (FA Fnte tate Automaton) typu čítač. Čítač čítá v bnárním kódu a je 2btový. Automat navrhněte s asynchronním nulováním. FA O (Outputs) AB4MI Mkroprocesory pro výkonové systémy 3 2

22 ynchronní 2btový bnární čítač Co máme navrhnout? Budcí funkce tavový regstr Logka výstupů LKO LKO2 I C AB4MI Mkroprocesory pro výkonové systémy 3 22

23 ynchronní 2btový bnární čítač tavový dagram I Vstupy (Inputs) (nemá) O Výstupy (Outputs) -tý stav Tabulka přechodů Tabulka výstupů + 2 O O 2 2 O O O 3 AB4MI Mkroprocesory pro výkonové systémy 3 23

24 ynchronní 2btový bnární čítač Tabulka přechodů Kódování stavů + Budcí funkce tavový regstr d d + d 2 d Zpětná vazba AB4MI Mkroprocesory pro výkonové systémy 3 24

25 ynchronní 2btový bnární čítač Tabulka výstupů O I O O 2 O 2 3 O 3 Kódování výstupů O tavový regstr Logka výstupů d d y y y y O O O 2 O 2 3 O 3 = O AB4MI Mkroprocesory pro výkonové systémy 3 25

26 ynchronní 2btový bnární čítač Mnmalzace d d XOR 2 3 d 2 3 d y y AB4MI Mkroprocesory pro výkonové systémy 3 26

27 ynchronní 2btový bnární čítač Realzace d ET CLR y d ET y CLR reset AB4MI Mkroprocesory pro výkonové systémy 3 27

28 ynchronní 2btový bnární čítač Co jsme navrhl? Budcí funkce tavový regstr Logka výstupů LKO LKO2 C AB4MI Mkroprocesory pro výkonové systémy 3 28

29 Co jsme navrhl? ynchronní 2btový bnární čítač Budící funkce tavový regstr Logka výstupů d ET CLR y d ET y CLR reset LKO LKO2 AB4MI Mkroprocesory pro výkonové systémy 3 29

30 etektor posloupnost btů (FA typu Moore) Navrhněte synchronní konečný automat (FA Fnte tate Automaton), který v proudu vstupních btů detekuje posloupnost. Př detekc každé takové posloupnost automat vyšle na výstupu mpuls. Automat navrhněte s asynchronním nulováním. I (Inputs) x FA (Moore) O (Outputs) y x y AB4MI Mkroprocesory pro výkonové systémy 3 3

31 etektor posloupnost btů (FA typu Moore) Co máme navrhnout? Budcí funkce tavový regstr Logka výstupů LKO LKO2 I C AB4MI Mkroprocesory pro výkonové systémy 3 3

32 etektor posloupnost btů (FA typu Moore) tavový dagram I Vstupy (Inputs) O Výstupy (Outputs) -tý stav I I x y I 3 I Tabulka přechodů I Tabulka výstupů I I O 2 I 2 I O O O 3 I 3 O AB4MI Mkroprocesory pro výkonové systémy 3 32

33 etektor posloupnost btů (FA typu Moore) Tabulka přechodů I I Kódování stavů I + x d d + x Budcí funkce tavový regstr 2 d d Zpětná vazba 3 AB4MI Mkroprocesory pro výkonové systémy 3 33

34 etektor posloupnost btů (FA typu Moore) Tabulka výstupů O I O O 2 O 3 O Kódování Výstupů y tavový regstr Logka výstupů 2 d d y 3 AB4MI Mkroprocesory pro výkonové systémy 3 34

35 AB4MI Mkroprocesory pro výkonové systémy 3 35 etektor posloupnost btů (FA typu Moore) d x d x x d y x x x x x d ) ( Mnmalzace

36 etektor posloupnost btů (FA typu Moore) Realzace d ET CLR x d ET y CLR reset AB4MI Mkroprocesory pro výkonové systémy 3 36

37 etektor posloupnost btů (FA typu Moore) Co jsme navrhl? Budcí funkce tavový regstr Logka výstupů LKO LKO2 I C AB4MI Mkroprocesory pro výkonové systémy 3 37

38 etektor posloupnost btů (FA typu Moore) Co jsme navrhl? Budící funkce tavový regstr Logka výstupů d ET CLR x d ET y CLR reset LKO LKO2 AB4MI Mkroprocesory pro výkonové systémy 3 38

39 etektor posloupnost btů (FA typu Moore) nt cbttream3ecoder(nt x_n, nt reset){ // Moore type FA, // Inputs: x_n, reset, Outputs: y_out enum {s,s,s2,s3}; statc nt statereg=s, nexttate=s, y_out; f(reset == TRUE){ statereg = s; nexttate = s; x_n = ; } y_out = ; statereg = nexttate; swtch(statereg){ case s: f(x_n == ); f(x_n == ) nexttate = s; break; case s: f(x_n == ) nexttate = s; f(x_n == ) nexttate = s2; break; case s2: f(x_n == ) nexttate = s3; f(x_n == ); break; case s3: y_out = ; f(x_n == ) nexttate = s; f(x_n == ) nexttate = s; break; default: // Error secton y_out = ; nexttate = s; }// swtch() EN return(y_out); }// cbttream3ecoder() EN C AB4MI Mkroprocesory pro výkonové systémy 3 39

40 etektor posloupnost btů (FA typu Moore) class JBttream3ecoder { fnal nt s =, s =, s2 = 2, s3 = 3; nt statereg = s, nexttate = s; nt yout = ; publc JBttream3ecoder() {// Constructor // empty } vod setfsareset (boolean reset){ statereg = s; nexttate = s; yout = ; } nt jbttream3ecoder(nt xin) { // Moore type FA // Inputs: xin, reset, Outputs: y_out yout = ; statereg = nexttate; swtch (statereg) { case s: f (xin == ); f (xin == ) nexttate = s; break; case s: f (xin == ) nexttate = s; f (xin == ) nexttate = s2; break; case s2: f (xin == ) nexttate = s3; f (xin == ); break; case s3: yout = ; f (xin == ) nexttate = s; f (xin == ) nexttate = s; break; default: // Error secton yout = ; nexttate = s; }// swtch() EN return (yout); }// jbttream3ecoder() EN }// JBttream3ecoder class EN Java AB4MI Mkroprocesory pro výkonové systémy 3 4

41 etektor posloupnost btů (FA typu Moore) entty vbttream3ecoder s Port ( : n T_LOGIC; x_n : n T_LOGIC; y_out : out T_LOGIC; reset : n T_LOGIC; : out std_logc_vector( downto ) ); end vbttream3ecoder; archtecture Behavoral of vbttream3ecoder s type states s (s,s2,s3,s4); sgnal statereg, nexttate: states:= s; begn -- FA - Fnte tate Machne process(, reset) begn f reset = '' then statereg <= s; elsf 'event and = '' then statereg <= nexttate; end f; end process; process(statereg, x_n) -- tate dagram defnton begn nexttate <= statereg; case statereg s when s => f x_n = '' then nexttate <= s2; end f; when s2 => f x_n = '' then nexttate <= s; elsf x_n = '' then nexttate <= s3; end f; when s3 => f x_n = '' then nexttate <= s3; elsf x_n = '' then nexttate <= s4; end f; when s4 => f x_n = '' then nexttate <= s2; elsf x_n = '' then nexttate <= s; end f; VHL when others => nexttate <= statereg; end case; end process; process(statereg) -- Output functon begn case statereg s when s => y_out <= ''; when s2 => y_out <= ''; when s3 => y_out <= ''; when s4 => y_out <= ''; when others => null; end case; end process; end Behavoral; AB4MI Mkroprocesory pro výkonové systémy 3 4

42 etektor posloupnost btů (FA typu Mealy) Navrhněte synchronní konečný automat (FA Fnte tate Automaton), který v proudu vstupních btů detekuje posloupnost. Př detekc každé takové posloupnost automat vyšle na výstupu mpuls. Automat navrhněte s asynchronním nulováním. I (Inputs) x FA (Mealy) O (Outputs) y x y AB4MI Mkroprocesory pro výkonové systémy 3 42

43 etektor posloupnost btů (FA typu Mealy) Co máme navrhnout? Budcí funkce tavový regstr Logka výstupů LKO LKO2 C I Budcí funkce tavový regstr Logka výstupů FA Mealy LKO LKO2 rovnej vs FA Moore I C AB4MI Mkroprocesory pro výkonové systémy 3 43

44 etektor posloupnost btů (FA typu Mealy) tavový dagram I Vstupy (Inputs) O Výstupy (Outputs) -tý stav I / = / I / = / x y I / = / I / = / I / = / 2 Tabulka přechodů I I I / = / Tabulka výstupů I I O O O O 2 O O AB4MI Mkroprocesory pro výkonové systémy 3 44

45 etektor posloupnost btů (FA typu Mealy) Tabulka přechodů I I Kódování stavů I + x d d + x Budcí funkce tavový regstr d d Zpětná vazba AB4MI Mkroprocesory pro výkonové systémy 3 45

46 etektor posloupnost btů (FA typu Mealy) Tabulka výstupů I I O O O O 2 O O I O Kódování výstupů tavový regstr Logka výstupů d x y d y x 2 AB4MI Mkroprocesory pro výkonové systémy 3 46

47 etektor posloupnost btů (FA typu Mealy) d x d x d x x d x Mnmalzace y x y x AB4MI Mkroprocesory pro výkonové systémy 3 47

48 etektor posloupnost btů (FA typu Mealy) Realzace d ET CLR x d ET y CLR reset AB4MI Mkroprocesory pro výkonové systémy 3 48

49 etektor posloupnost btů (FA typu Mealy) Co jsme navrhl? Budcí funkce tavový regstr Logka výstupů LKO LKO2 C I AB4MI Mkroprocesory pro výkonové systémy 3 49

50 etektor posloupnost btů (FA typu Mealy) Co jsme navrhl? Budící funkce tavový regstr Logka výstupů d ET CLR x d ET y CLR reset LKO LKO2 AB4MI Mkroprocesory pro výkonové systémy 3 5

51 Časování výpočet maxmální hodnové frekvence Ovlvněno: Technologí Typy hradel Počtem vstupů u hradel Zatížením výstupů hradel (větvením) Typem klopných obvodů élkou propojovacích vodčů (na plošném spoj, ) Vzájemnou polohou vodčů (kvalta návrhu plošného spoje) Rozmístěním součástek Počtem zemnících a napájecích vrstev Způsobem rozvodu napájení Rozmístěním blokovacích kondezátorů alším vlvy.. Podrobnost další přednášku AB4MI Mkroprocesory pro výkonové systémy 3 5

52 MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu KONEC České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory pro výkonové systémy 3 52

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24 Logcký sekvenční obvod Logcký

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

Logické obvody Kombinační a sekvenční stavební bloky

Logické obvody Kombinační a sekvenční stavební bloky MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Část důležtá něco jen pro zájemce (Označeno???) Logcké obvody Kombnační a sekvenční stavební bloky České vysoké učení techncké Fakulta

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč České vsoké učení techncké Fkult elektrotechncká Ver..3 J. Zděnek / M. Chomát 24 Čsování výpočet

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

LOGICKÉ OBVODY J I Ř Í K A L O U S E K

LOGICKÉ OBVODY J I Ř Í K A L O U S E K LOGICKÉ OBVODY J I Ř Í K A L O U S E K Ostrava 2006 Obsah předmětu 1. ČÍSELNÉ SOUSTAVY... 2 1.1. Číselné soustavy - úvod... 2 1.2. Rozdělení číselných soustav... 2 1.3. Polyadcké číselné soustavy... 2

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

ARITMETICKOLOGICKÁ JEDNOTKA

ARITMETICKOLOGICKÁ JEDNOTKA Vyšší odborná škola a Střední průmyslová škola elektrotechncká Božetěchova 3, Olomouc Třída : M4 Školní rok : 2000 / 2001 ARITMETICKOLOGICKÁ JEDNOTKA III. Praktcká úloha z předmětu elektroncké počítače

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

3. Sekvenční logické obvody

3. Sekvenční logické obvody 3. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody příklad sekv.o. Příklad sledování polohy vozíku

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

Návrh synchronního čítače

Návrh synchronního čítače Návrh synchronního čítače Zadání: Navrhněte synchronní čítač mod 7, který čítá vstupní impulsy na vstupu x. Při návrhu použijte klopné obvody typu -K a maximálně třívstupová hradla typu NAND. Řešení: Čítač

Více

5. Sekvenční logické obvody

5. Sekvenční logické obvody 5. Sekvenční logické obvody 3. Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou 3. Sekvenční logické obvody - příklad asynchronního sekvenčního obvodu 3.

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Systém přerušení. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Systém přerušení. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Systém přerušení České vysoké učení technické Fakulta elektrotechnická A1B14MIS Mikroprocesory pro výkonové systémy 6 Ver.1.2 J. Zděnek, 213 1 pic18f Family Interrupt

Více

Typy a použití klopných obvodů

Typy a použití klopných obvodů Typy a použití klopných obvodů Klopné obvody s hodinovým vstupem mění svůj stav, pokud hodinový vstup má hodnotu =. Přidáním invertoru před hodinový vstup je lze upravit tak, že budou měnit svůj stav tehdy,

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky...

Konečný automat. Studium chování dynam. Systémů s diskrétním parametrem číslic. Počítae, nervové sys, jazyky... Konečný automat. Syntéza kombinačních a sekvenčních logických obvodů. Sekvenční obvody asynchronní, synchronní a pulzní. Logické řízení technologických procesů, zápis algoritmů a formulace cílů řízení.

Více

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych.

Projekt Pospolu. Sekvenční logické obvody Klopné obvody. Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Projekt Pospolu Sekvenční logické obvody Klopné obvody Autorem materiálu a všech jeho částí, není-li uvedeno jinak, je Ing. Jiří Ulrych. Rozlišujeme základní druhy klopných sekvenčních obvodů: Klopný obvod

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Stavové automaty enkódování Proces, který rozhoduje kolik paměťových prvků bude využito v paměťové části. Binární enkódování je nejpoužívanější. j počet stavů

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

7. Popis konečného automatu

7. Popis konečného automatu Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Praktika návrhu číslicových obvodů Dr.-Ing. Martin Novotný Katedra číslicového návrhu Fakulta informačních technologií ČVUT v Praze Miloš

Více

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ

LOGICKÉ SYSTÉMY PRO ŘÍZENÍ ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická LOGICKÉ SYSTÉMY PRO ŘÍZENÍ Doc. Ing. Jiří Bayer, CSc Dr.Ing. Zdeněk Hanzálek Ing. Richard Šusta 2000 Vydavatelství ČVUT Předmluva Skriptum

Více

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí

Jazyk VHDL konstanty, signály a proměnné. Jazyk VHDL paralelní a sekvenční doména. Kurz A0B38FPGA Aplikace hradlových polí ČESKÉ VYSOKÉ UČENÍ TECHNICKÉ V PRAZE Fakulta elektrotechnická Ing. Radek Sedláček, Ph.D., katedra měření K13138 Jazyk VHDL konstanty, signály a proměnné Jazyk VHDL paralelní a sekvenční doména Kurz A0B38FPGA

Více

Návrh asynchronního automatu

Návrh asynchronního automatu Návrh asynchronního automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/asyn_automat.pdf Obsah DEFINICE AUTOMATU... 2 KROK 1: ZADÁNÍ... 3 KROK 2: ANALÝZA ZADÁNÍ... 3 KROK 3: VYJÁDŘENÍ

Více

PROGRAMOVATELNÉ LOGICKÉ OBVODY

PROGRAMOVATELNÉ LOGICKÉ OBVODY PROGRAMOVATELNÉ LOGICKÉ OBVODY (PROGRAMMABLE LOGIC DEVICE PLD) Programovatelné logické obvody jsou číslicové obvody, jejichž logická funkce může být programována uživatelem. Výhody: snížení počtu integrovaných

Více

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1.

Y36SAP Y36SAP-2. Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka Kubátová Y36SAP-Logické obvody 1. Y36SAP 26.2.27 Y36SAP-2 Logické obvody kombinační Formy popisu Příklad návrhu Sčítačka 27-Kubátová Y36SAP-Logické obvody Logický obvod Vstupy a výstupy nabývají pouze hodnot nebo Kombinační obvod popsán

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

2.9 Čítače. 2.9.1 Úkol měření:

2.9 Čítače. 2.9.1 Úkol měření: 2.9 Čítače 2.9.1 Úkol měření: 1. Zapište si použité přístroje 2. Ověřte časový diagram asynchronního binárního čítače 7493 3. Ověřte zkrácení početního cyklu čítače 7493 4. Zapojte binární čítač ve funkci

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty.

Technická kybernetika. Obsah. Klopné obvody: Použití klopných obvodů. Sekvenční funkční diagramy. Programovatelné logické automaty. Akademický rok 2016/2017 Připravil: adim Farana Technická kybernetika Klopné obvody, sekvenční funkční diagramy, programovatelné logické automaty 2 Obsah Klopné obvody:. D. JK. Použití klopných obvodů.

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

BDIO - Digitální obvody

BDIO - Digitální obvody BIO - igitální obvody Ústav Úloha č. 6 Ústav mikroelektroniky ekvenční logika klopné obvody,, JK, T, posuvný registr tudent Cíle ozdíl mezi kombinačními a sekvenčními logickými obvody. Objasnit principy

Více

1 z 16 11.5.2009 11:33 Test: "CIT_04_SLO_30z50" Otázka č. 1 U Mooreova automatu závisí okamžitý výstup Odpověď A: na okamžitém stavu pamětí Odpověď B: na minulém stavu pamětí Odpověď C: na okamžitém stavu

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Opakování České vysoké učení technické Fakulta elektrotechnická AB4MIS Mikroprocesory pro výkonové systémy Ver..4 J. Zděnek, 27 MOS transistory jako elektrické spínače

Více

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student

Cíle. Teoretický úvod. BDIO - Digitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Předmět Ústav Úloha č. 9 BIO - igitální obvody Ústav mikroelektroniky Sekvenční logika - debouncer, čítače, měření doby stisknutí tlačítka Student Cíle Pochopení funkce obvodu pro odstranění zákmitů na

Více

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač

Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač FAKULTA ELEKTROTECHNIKY A KOMUNIKAČNÍCH TECHNOLOGIÍ VYSOKÉ UČENÍ TECHNICKÉ V BRNĚ Klopný obvod typu D, dělička dvěma, Johnsonův kruhový čítač (Řídící elektronika BREB) Autoři textu: doc. Dr. Ing. Miroslav

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

PROGRAMOVACÍ JAZYKY A PŘEKLADAČE REALIZACE PŘEKLADAČE I

PROGRAMOVACÍ JAZYKY A PŘEKLADAČE REALIZACE PŘEKLADAČE I PROGRAMOVACÍ JAZYKY A PŘEKLADAČE REALIZACE PŘEKLADAČE I 2011 Jan Janoušek BI-PJP Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Programová realizace DKA typedef enum {q0, q1,... qn,

Více

Obsah DÍL 1. Předmluva 11

Obsah DÍL 1. Předmluva 11 DÍL 1 Předmluva 11 KAPITOLA 1 1 Minulost a současnost automatizace 13 1.1 Vybrané základní pojmy 14 1.2 Účel a důvody automatizace 21 1.3 Automatizace a kybernetika 23 Kontrolní otázky 25 Literatura 26

Více

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů.

Úloha 9. Stavové automaty: grafická a textová forma stavového diagramu, příklad: detektory posloupností bitů. Úloha 9. Stavové automaty: grafická a textová forma ového diagramu, příklad: detektory posloupností bitů. Zadání 1. Navrhněte detektor posloupnosti 1011 jako ový automat s klopnými obvody typu. 2. Navržený

Více

Pokročilé využití jazyka VHDL. Pavel Lafata

Pokročilé využití jazyka VHDL. Pavel Lafata Pokročilé využití jazyka VHDL Pavel Lafata Autor: Pavel Lafata Název díla: Pokročilé využití jazyka VHDL Zpracoval(a): České vysoké učení technické v Praze Fakulta elektrotechnická Kontaktní adresa: Technická

Více

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno

VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola a Střední odborné učiliště, Dubno Číslo projektu Číslo materiálu Název školy Autor Tematická oblast Ročník CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_OV_2.ME_CISLICOVA_TECHNIKA_19_SPOJENI KOMBINACNICH_A_SEKVENCNICH_OBVODU Střední odborná škola

Více

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing.

VY_32_INOVACE_CTE_2.MA_18_Čítače asynchronní, synchronní. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Číslo projektu Číslo materiálu Z.1.07/1.5.00/34.0581 VY_3_INOVAE_TE_.MA_18_Čítače asynchronní, synchronní Název školy Autor Tematická oblast Ročník Střední odborná škola a Střední odborné učiliště, Dubno

Více

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje

Projekt realizovaný na SPŠ Nové Město nad Metují. s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Projekt realizovaný na SPŠ Nové Město nad Metují s finanční podporou v Operačním programu Vzdělávání pro konkurenceschopnost Královéhradeckého kraje Modul 03 Technické předměty Ing. Otakar Maixner 1 Blokové

Více

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008

Úvod do jazyka VHDL. Jan Kořenek korenek@fit.vutbr.cz. Návrh číslicových systémů 2007-2008 Úvod do jazyka VHDL Návrh číslicových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Jak popsat číslicový obvod Slovně Navrhněte (číslicový) obvod, který spočte sumu všech členů dané posloupnosti slovní

Více

1 Stručný popis jazyku VHDL

1 Stručný popis jazyku VHDL 1 Stručný popis jazyku VHDL Jazyk VHDL (Very High Speed Integrated Circuits Hardware Description Language) je spolu s jazykem Verilog HDL jedním z nejpoužívanějším jazykům pro popis hardwarových struktur

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/).

1. Seznamte se s výukovou platformou FITkit (http://merlin.fit.vutbr.cz/fitkit/). Zadání: Fakulta informačních technologií VUT v Brně Ústav počítačových systémů Technika personálních počítačů, cvičení ITP FITkit Řízení 7mi-segmentového displeje Úloha č. 3. 1. Seznamte se s výukovou

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Sylabus kurzu Elektronika

Sylabus kurzu Elektronika Sylabus kurzu Elektronika 5. ledna 2004 1 Analogová část Tato část je zaměřena zejména na elektronické prvky a zapojení v analogových obvodech. 1.1 Pasivní elektronické prvky Rezistor, kondenzátor, cívka-

Více

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace

LOGICKÉ OBVODY 2 kombinační obvody, minimalizace LOGICKÉ OBVODY 2 kombinační obvody, minimalizace logické obvody kombinační logické funkce a jejich reprezentace formy popisu tabulka, n-rozměrné krychle algebraický zápis mapy 9..28 Logické obvody - 2

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Speciální obvody a jejich programování v C 2. díl MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Speciální obvody a jejich programování v C 2. díl České vysoké učení technické Fakulta elektrotechnická Ver.1.10 J. Zděnek, 2017 Compare Unit jiné řešení Následující

Více

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic.

Základní principy konstrukce systémové sběrnice - shrnutí. Shrnout základní principy konstrukce a fungování systémových sběrnic. Základní principy konstrukce systémové sběrnice - shrnutí Shrnout základní principy konstrukce a fungování systémových sběrnic. 1 Co je to systémová sběrnice? Systémová sběrnice je prostředek sloužící

Více

Logické funkce a obvody, zobrazení výstupů

Logické funkce a obvody, zobrazení výstupů Logické funkce a obvody, zobrazení výstupů Digitální obvody (na rozdíl od analogových) využívají jen dvě napěťové úrovně, vyjádřené stavy logické nuly a logické jedničky. Je na nich založeno hodně elektronických

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Synchronní 3-bitový čítač s KO D, asyn. RST a výstupem MAX Vlastnosti: ) Čítač inkrementuje svůj výstup o 2) Změna výstupu nastává vždy při změně náběžné

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) imní semestr 2/2 Jiří Douša, katedra číslicového návrhu (K83), České vysoké učení technické v Prae,

Více

Úvod do počítačových architektur

Úvod do počítačových architektur Úvod do počítačových architektur T.Mainzer Úvod - analogový vs digitální počítač - analogový - (+) rychlost, (-) přesnost, opakovatelnost, specializovanost - digitální - (+) opakovatelnost, univerzálnost

Více

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1

Přednáška - Čítače. 2013, kat. měření, ČVUT - FEL, Praha J. Fischer. A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Přednáška - Čítače 2013, kat. měření, ČVUT - FEL, Praha J. Fischer A3B38MMP, 2013, J.Fischer, ČVUT - FEL, kat. měření 1 Náplň přednášky Čítače v MCU forma, principy činnosti A3B38MMP, 2013, J.Fischer,

Více

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla)

Otázka 10 - Y36SAP. Zadání. Logické obvody. Slovníček pojmů. Základní logické členy (hradla) Otázka 10 - Y36SAP Zadání Logické obvody. Logické funkce, formy jejich popisu. Kombinační obvody a jejich návrh. Sekvenční systém jako konečný automat. Synchronní a asynchronní sekvenční obvody a jejich

Více

KZPE semestrální projekt Zadání č. 1

KZPE semestrální projekt Zadání č. 1 Zadání č. 1 Navrhněte schéma zdroje napětí pro vstupní napětí 230V AC, který bude disponovat výstupními větvemi s napětím ±12V a 5V, kde každá větev musí být schopna dodat maximální proud 1A. Zdroj je

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

Číslicové obvody a jazyk VHDL

Číslicové obvody a jazyk VHDL Číslicové obvody a jazyk VHDL Návrh počítačových systémů 2007-2008 Jan Kořenek korenek@fit.vutbr.cz Proč HW realizace algoritmu Vyšší rychlost paralelní nebo zřetězené zpracování, přizpůsobení výpočetních

Více

Iterační výpočty. Dokumentace k projektu pro předměty IZP a IUS. 22. listopadu projekt č. 2

Iterační výpočty. Dokumentace k projektu pro předměty IZP a IUS. 22. listopadu projekt č. 2 Dokumentace k projektu pro předměty IZP a IUS Iterační výpočty projekt č.. lstopadu 1 Autor: Mlan Setler, setl1@stud.ft.vutbr.cz Fakulta Informačních Technologí Vysoké Učení Techncké v Brně Obsah 1 Úvod...

Více

Konvolučníkódy. MI-AAK(Aritmetika a kódy)

Konvolučníkódy. MI-AAK(Aritmetika a kódy) MI-AAK(Aritmetika a kódy) Konvolučníkódy c doc. Ing. Alois Pluháček, CSc., 2011 Katedra číslicového návrhu Fakulta informačních technologií České vysoké učení technické v Praze Evropský sociální fond Praha&

Více

LOGICKÉ OBVODY X36LOB

LOGICKÉ OBVODY X36LOB LOGICKÉ OBVODY X36LOB Doc. Ing. Hana Kubátová, CSc. Katedra počítačů FEL ČVUT v Praze 26.9.2008 Logické obvody - 1 - Úvod 1 Obsah a cíle předmětu Číslicový návrh (digital design) Číslicové obvody logické

Více

Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod

Digitální návrh. Postup návrhu digitálních IO. Co to jsou HDL jazyky? Příklad Verilog kódu pro D klopný obvod Jak navrhnout systém se 700 mil. Tranzistorů? Digitální Časová analýza Návrh topologie Dělení u na subsystémy Návrh je rozdělen na jednotlivé bloky a ty na další sub-bloky Použití již existujících ů Rychlejší

Více

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti MI-SOC: 2 KOMUNIKACE NAČIPU, LATENCE, PROPUSTNOST, ARCHITEKTURY doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních

Více

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium Vysoká škola báňská - Techncká unverzta Ostrava Fakulta elektrotechnky a nformatky LOGICKÉ OBVODY pro kombnované a dstanční studum Zdeněk Dvš Zdeňka Chmelíková Iva Petříková Ostrava ZDENĚK DIVIŠ, ZDEŇKA

Více

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ

OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ OVLÁDACÍ OBVODY ELEKTRICKÝCH ZAŘÍZENÍ Odlišnosti silových a ovládacích obvodů Logické funkce ovládacích obvodů Přístrojová realizace logických funkcí Programátory pro řízení procesů Akční členy ovládacích

Více

Návod k obsluze výukové desky CPLD

Návod k obsluze výukové desky CPLD Návod k obsluze výukové desky CPLD FEKT Brno 2008 Obsah 1 Úvod... 3 2 Popis desky... 4 2.1 Hodinový signál... 5 2.2 7- Segmentový displej... 5 2.3 LED zobrazení... 6 2.4 Přepínače... 6 2.5 PORT 1 - Externí

Více

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů:

Vzorový příklad. Postup v prostředí ISE. Zadání: x 1 x 0 y Rovnicí y = x 1. Přiřazení signálů: Vzorový příklad. Zadání: Na přípravku realizujte kombinační obvod představující funkci logického součinu dvou vstupů. Mající následující pravdivostní tabulku. x 1 x 0 y 0 0 0 0 1 0 1 0 0 1 1 1 Rovnicí

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011

Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Simulace číslicových obvodů (MI-SIM) zimní semestr 2010/2011 Jiří Douša, katedra číslicového návrhu (K18103), České vysoké učení technické

Více

5. A/Č převodník s postupnou aproximací

5. A/Č převodník s postupnou aproximací 5. A/Č převodník s postupnou aproximací Otázky k úloze domácí příprava a) Máte sebou USB flash-disc? b) Z jakých obvodů se v principu skládá převodník s postupnou aproximací? c) Proč je v zapojení použit

Více

Projekt: Přístupový terminál

Projekt: Přístupový terminál Projekt: Přístupový terminál 1. Zadání 1. Seznamte se s přípravkem FITKit a způsobem připojení jeho periférií, zejména klávesnice a LCD displeje. 2. Prostudujte si zdrojové kódy projektu v jazyce VHDL.

Více

Témata profilové maturitní zkoušky

Témata profilové maturitní zkoušky Obor vzdělání: 26-41-M/01 elektrotechnika Předmět: technika počítačů 1. Kombinační logické obvody a. kombinační logický obvod b. analýza log. obvodu 2. Čítače a. sekvenční logické obvody b. čítače 3. Registry

Více

Firmware řídící jednotky stejnosměrného generátoru

Firmware řídící jednotky stejnosměrného generátoru Firmware řídící jednotky stejnosměrného generátoru Zdeněk KOLKA Projekt FR-TI1/184 - Výzkum a vývoj systému řízení a regulace pozemního letištního zdroje Popis Řídicí jednotka GCU 400SG je elektronické

Více

Logické řízení. Náplň výuky

Logické řízení. Náplň výuky Logické řízení Logické řízení Náplň výuky Historie Logické funkce Booleova algebra Vyjádření Booleových funkcí Minimalizace logických funkcí Logické řídicí obvody Blokové schéma Historie Číslicová technika

Více

{ } SYNTÉZA TABULEK PŘECHODŮ 1. NEALGEBRAICKÉ METODY

{ } SYNTÉZA TABULEK PŘECHODŮ 1. NEALGEBRAICKÉ METODY SNTÉZA TABULEK PŘECHODŮ. NEALGEBRAICKÉ METOD a) GINSBURGOVA METODA Využívá tzv. korespondencí mez vstupním a výstupním slovem př dané vstupní a výstupní abecedě. Jnak řečeno, vyhodnocuí se ednotlvé odezvy

Více

Konečné automaty (sekvenční obvody)

Konečné automaty (sekvenční obvody) Konečné automaty (sekvenční obvody) Název školy: SPŠ Ústí nad Labem, středisko Resslova Autor: Ing. Pavel Votrubec Název: VY_32_INOVACE_03_CIT_42_III_Seminarni_prace_navrh_KA Téma: Návrhy zadání III. Seminární

Více

Základní pojmy. Úvod do programování. Základní pojmy. Zápis algoritmu. Výraz. Základní pojmy

Základní pojmy. Úvod do programování. Základní pojmy. Zápis algoritmu. Výraz. Základní pojmy Úvod do programování Michal Krátký 1,Jiří Dvorský 1 1 Katedra informatiky VŠB Technická univerzita Ostrava Úvod do programování, 2004/2005 Procesor Procesorem je objekt, který vykonává algoritmem popisovanou

Více

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE

BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE BISTABILNÍ KLOPNÉ OBVODY, ČÍTAČE Úvod Účelem úlohy je seznámení s funkcemi a zapojeními několika sekvenčních logických obvodů, s tzv. bistabilními klopnými obvody a čítači. U logických obvodů se často

Více

Souhrn Apendixu A doporučení VHDL

Souhrn Apendixu A doporučení VHDL Fakulta elektrotechniky a informatiky Univerzita Pardubice Souhrn Apendixu A doporučení VHDL Práce ke zkoušce z předmětu Programovatelné logické obvody Jméno: Jiří Paar Datum: 17. 2. 2010 Poznámka k jazyku

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Základy logického řízení

Základy logického řízení Základy logického řízení 11/2007 Ing. Jan Vaňuš, doc.ing.václav Vrána,CSc. Úvod Řízení = cílené působení řídicího systému na řízený objekt je členěno na automatické a ruční. Automatickéřízení je děleno

Více

Verifikace pomocí assertions: případové studie Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Verifikace pomocí assertions: případové studie Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha Tento článek je upraveným původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Verifikace pomocí assertions: případové studie, DPS Elektronika od A do Z, no 3, pp. 10-13,

Více

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14

ZÁKLADY PROGRAMOVÁNÍ. Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 ZÁKLADY PROGRAMOVÁNÍ Mgr. Vladislav BEDNÁŘ 2013 1.3 2/14 Co je vhodné vědět, než si vybereme programovací jazyk a začneme programovat roboty. 1 / 14 0:40 1.3. Vliv hardware počítače na programování Vliv

Více