Struktura a architektura počítačů

Rozměr: px
Začít zobrazení ze stránky:

Download "Struktura a architektura počítačů"

Transkript

1 Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč České vsoké učení techncké Fkult elektrotechncká Ver..3 J. Zděnek / M. Chomát 24

2 Čsování výpočet mmální hodnové frekvence Ovlvněno: Technologí Tp hrdel Počtem vstupů u hrdel Ztížením výstupů hrdel (větvením) Tpem klopných ovodů Délkou propojovcích vodčů (n plošném spoj, ) Vzájemnou polohou vodčů (kvlt návrhu plošného spoje) Rozmístěním součástek Počtem zemnících npájecích vrstev Způsoem rozvodu npájení Rozmístěním lokovcích kondezátorů Dlším vlv.. A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 2

3 Čsování klopného ovodu Clk D D SET Q Q Setup Hold Zde n hodnotě nezáleží Clk D CLR Q Clock-to-Q Q Předsth (Setup Tme) Vstup D musí ýt stlní (ustálený) před ktvní (zde náěžnou) hrnou hodnového sgnálu Přesh (Hold Tme) Vstup D musí zůstt stlní (ustálený) po ktvní (zde náěžné) hrně hodnového sgnálu Zpoždění (Clock-to-Q Tme) výstupu Q po ktvní (zde náěžné) hrně hodnového sgnálu A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 3

4 Mmální hodnová frekvence Logcký komnční ovod... Regstr Regstr... Clk Clk Všechn klopné ovod jsou řízen stejným hodnovým sgnálem Komnční logcké lok: Vstup jsou ktulzován př kždém tktu hodn Všechn výstup musí ýt stlní před dlším tktem A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 4

5 Krtcká cest perod hodn Logcký komnční ovod... Regstr Regstr Clk Clk Zpoždění hrdl Krtcká cest T c Perod hodn Krtcká cest: nejpomlejší cest mez lovolným z regstrů (klop.ovodů) Mnmální perod hodn je funkcí krtcké cest Perod T cmn musí ýt větší než: T c Clock to Q + Nejpomlejs cest komncn cst + mn Setup Musí ýt splněn poždvk n stltu vstupů výstupů A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 5

6 Krtcká cest (Kc) LSO - Logcký sekvenční ovod Kc3 Kc2 I,, Logcký komnční ovod Kc O,, j Kc4 S q,,q k Pměťová část Clk A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 6

7 Krtcká cest (Kc) Kc4 Kc2 Budcí funkce Stvový regstr Logk výstupů Kc D Q LKO LKO2 O C I clk Kc3 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 7

8 Hodnová frekvence = /Kc m Kc ze vstupů I n vstup stvového regstru Nestlt vstupů + zpoždění v LKO + Setup (předsth) Kc2 z výstupu stvového regstru n výstup O Clock-to-Q + zpoždění v LKO2 + poždvek n stltu výstupů Kc3 ze vstupů I n výstup O Nestlt vstupů + zpoždění v LKO2 + poždvek n stltu výstupů Kc4 z výstupu stvového regstru n jeho vstup Clock-to-Q + zpoždění v LKO + Setup (předsth) A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 8

9 Detektor posloupnost tů (FSA tpu Mel) Kc d D SET CLR Q 4 4 Q q Kc2 8 Kc4 d q SET D CLR Q 4 4 Q 8 6 clk reset Kc = [ns] Kc2 = [ns] Kc3 = [ns] Kc4 = [ns] Kc3 f m = / Tc = / 34ns = 29, 4 MHz mn TClock to Q = 4 ns Setup = 4ns A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 9

10 Mjort Dekoder Komnční lok udeme proírt 3 2 rol s s Multpleer gt out lt out 3... Bnr sto Hlf 3 Johnson 4 Adder q e Comprtor Hlf Sutrctor Prort Encoder p gt gt 4 out 4 n eq out 4 c out s Brrel sr... 4 Shfter 3 sr sr t SL/RL 3 s l out l n 4 Shfter 4t L/R 4 Multpleer r n r out lt n l out l n lt out 4 t dder A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 4 d c Full Adder c en c n Shfter t L/R 4 t comprtor s q Full Sutrctor t Adder/ Sutrctor 4 c out sd r n Decoder Demultpleer gt n lt n Bnr to Gr 4 d 4 r out su c n c t Adder/ Sutrctor 4 c out sd su 2 3 c n

11 Přehled komnčních loků dle ktegore Mjort Decoder Multpleer 4 to Hlf Adder Prort Encoder t Comprtor Full Adder Demultpleer 4t Comprtor Hlf Sutrctor Bnr to Gr Dec. t Shfter L/R Full Sutrctor Bn. to Johnson Dec. 4t Shfter L/R, L/A 4t Full Adder Multpleer 2 to 4t Brrel Shfter 4t Adder/Sutrctor A7B4SAP Struktur rchtektur počítčů 4 Logcké lok

12 Přehled komnčních loků 3 Mjort Dekoder 2 e Prort Encoder en Decoder Demultpleer 2 3 s Multpleer Multpleer s 3... Bnr to 4 Gr 4 Bnr to 3 Johnson 4 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 2

13 Přehled komnčních loků 4 4 gt out lt out Comprtor gt n lt n eq out gt out lt out 4 t comprtor gt n lt n sr sr sr 4 l out l n Shfter t L/R r n r out rol s Brrel Shfter 4t SL/RL 3 l out l n Shfter 4t L/R 4 r n r out A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 3

14 Přehled komnčních loků Hlf Adder s q p Full Adder s q Hlf Sutrctor d c c Full Sutrctor d c + su t dder c n 4 t Adder/ Sutrctor c n 4 4 c out s c out sd A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 4

15 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 5 Mjortní dekodér Mjort Nývá hodnot, kdž většn vstupních proměnných je rovn Mjort ze 3 tj. 2 neo 3 vstupní proměnné mjí hodnotu Mjort Dekoder D f D , = = 7), m (3, Mjort ze 3

16 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 6 Mjortní dekodér Mjort Dekoder D f D = Mjort ze 3

17 Mjortní dekodér = Relzce 2 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 7

18 Prortní enkodér Kóduje stv n vstupů do určeného kódu (npř. nárního) n výstupu Lovolný počet vstupů prortního enkodéru může součsně nývt hodnot. Prortní enkodér n výstupech k všle vžd pouze kód ktvního vstupu (tj. = ) s nejvšší prortou (zde nejvšší prortu má ) Použtí sstém přerušení v počítčí (nterrupt sstem), Prortní enkodér 2 Prort Encoder D 2 D o A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 8

19 Prortní enkodér Prortní enkodér 2 Prort Encoder D 2 D o = 3, 7) = m (,, 4, = 4 ) = + m (2,, A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 9

20 Prortní enkodér Prortní enkodér 2 Prort Encoder D 2 D o = 2 = A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 2

21 Prortní enkodér Relzce 2 Prort Encoder = 2 + = A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 2

22 Prortní enkodér Blokování výstupu e = 2 e Prort Encoder Relzce Kroužek znčí, že ktvní stv je pro e = 2 e A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 22

23 Dekodér/Demultpleer Dekóduje kód n vstupu (npř. nární) n kód z n n výstupu Tpck je výstupní kód ktvní v Použtí dekodér dresových loků v počítč, en Decoder Demultpleer 2 3 Dekodér/Demultpleer D Y A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 23

24 Dekodér/Demultpleer en Decoder Demultpleer 2 3 Dekodér/Demultpleer D Y = = = 2 = 3 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 24

25 Dekodér/Demultpleer Relzce en Decoder Demultpleer 2 3 = = 2 = = 3 2 en 3 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 25

26 Multpleer n vstupový multpleer je číslcový přepínč n vstupů n jeden výstup Multpleer 2 n s Multpleer D s Mu 3 2 s s A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 26

27 Multpleer s = s + s Relzce s A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 27

28 Multpleer Multpleer 4 n ze tří multpleerů 2 n Multpleer 4 n D s s Multpleer Multpleer Multpleer s s A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 28

29 Multpleer Multpleer 4 2 n z multpleerů 2 n Multpleer s 4 4 Multpleer Multpleer Multpleer Multpleer 3 s A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 29

30 Komprátor (t Comprtor) gt out eq out lt out Komprátor Comprtor gt n lt n gt eq lt out out [( = ) AND( gt ) ] = n ( > ) OR = ( = ) AND ( gt = ) AND ( lt = ) out = n n [( = ) AND( lt ) ] ( < ) OR = = n D gt n lt n gt out eq out lt out Nemůže nstt, doplníme pro co nejlepší mnmlzc A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 3

31 Komprátor (t Comprtor) gt out gt n lt n eq out gt n lt n? 3 2? 3 2? ? ? ? ? 8 9? 8 9 gt. out =. +. gtn + gtn eq out =.. gtn. ltn +.. gtn. ltn Greter Thn Equl A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 3

32 Komprátor (t Comprtor) lt out? 3 2 gt n lt n? ? ? 8 9 lt =. +. lt +. lt out n n Less Thn A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 32

33 Komprátor (t Comprtor) Relzce gt n gt out lt n gt out eq out lt out Comprtor gt n lt n eq out lt out A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 33

34 Komprátor (4t Comprtor) gt out eq out lt out Comprtor gt n lt n gt out eq out lt out Comprtor gt lt Comprtor gt lt Comprtor gt lt Comprtor gt n lt n 4 4 gt out eq out lt out 4 t comprtor gt n lt n A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 34

35 Převodník kódu (Code Converter) Bnr to Gr Bnární kód n Grův kód (sousední komnce se lší pouze v jednom tu) Bnární n Grův kód Bnr to 4 Gr 4 D A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 35

36 Převodník kódu (Code Converter) Bnr to Gr = + = = = 2 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 36

37 Převodník kódu (Code Converter) Bnr to Gr = = = 3 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 37

38 Převodník kódu (Code Converter) Bnr to Gr Relzce A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 38

39 Převodník kódu (Code Converter) Bnr to Johnson Bnární kód n Johnsonův kód (sousední komnce se lší pouze v jednom tu) Bnární n Johnsonův kód D 2 s 3 s 2 s s Bnr to 3 Johnson A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 39

40 Převodník kódu (Code Converter) Bnr to Johnson s s s + = s = = 2 s2 3 s s + 2 = s 3 = 2 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 4

41 Převodník kódu (Code Converter) Bnr to Johnson Relzce s s 2 s 2 s 3 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 4

42 Půlsčítčk (Hlf Adder) s = + D q s 2 3 Hlf Adder Relzce s q s = m (, 2) = ) q m ( 3 = = + = XOR s q AND A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 42

43 Sčítčk (Full Adder) s = + + p D p q s p Full Adder s q 6 7 s = m (, 2, 4, 7) =.. p +.. p +.. p +.. p q = m (3, 5, 6, 7) =.. p +.. p +.. p +.. p A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 43

44 Úprv logckého výrzu Sčítčk (Full Adder) XOR XNOR s=.. p +.. p +.. p +.. p = p ( + ) + p ( + ) = = p ( + ) + p ( + ) = p ( ) Hlf Adder s XNOR = XOR Vhodná mnmlzce z K-mp q =.. p +.. p +.. p +.. p q= + p + p = + p ( + ) = = + p ( ) q p Hlf Adder q A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 44

45 Sčítčk (Full Adder) Relzce Hlf Adder Full Adder XOR Hlf Adder p AND XOR s AND q OR A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 45

46 Sčítčk (Full Adder) Relzce Full Adder p Hlf Adder Hlf Adder s q A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 46

47 Sčítčk (4t Adder) q = c + Full Adder p = c s Full Adder Full Adder Full Adder Full Adder c 3 c 2 c c c 4 s 3 s 2 s s t dder c n 4 c out s A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 47

48 Půlodčítčk (Hlf Sutrctor) d = D c d 2 3 Hlf Sutrctor Relzce d c d = m (, 2) = + = d c = m () = c A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 48

49 Odčítčk (Full Sutrctor) d = c D c c + d c Full Sutrctor d c d = m (, 2, 4, 7) =.. c +.. c c.. c.. c.. c.. c + = m (, 2, 3, 7) = c c A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 49

50 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 5 ) ( ) ( c c c c c + = = + + = + + = + ) ( ) ( ) ( ) ( ) ( c c c c c c c c c d = = = = = Odčítčk (Full Sutrctor) XNOR = XOR XNOR XOR Úprv logckého výrzu Vhodná mnmlzce z K-mp Hlf Sutrctor d Hlf Sutrctor c c + c XNOR c c c c c = +

51 Odčítčk (Full Sutrctor) Relzce Hlf Sutrctor Full Sutrctor Hlf Sutrctor d c Borrow OR c + Borrow A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 5

52 Odčítčk (Full Sutrctor) Relzce Full Sutrctor c Hlf Sutrctor Hlf Sutrctor d c + A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 52

53 Sčítčk/odčítčk (4t Adder/Sutrctor) Pro čísl kódovná v dvojkovém doplňku (Two s Complement) pltí: t Adder/ Sutrctor 4 c out sd su = + + c n 3 d TC 3 = 2 2 su = odčítání su odčítání Full Adder Full Adder Full Adder Full Adder orrow = c 4 c 3 c 2 c c crr = sčítání c 4 c 4 sd 3 sd 2 sd Sčítání odčítání relzováno pouze sčítčkou sd A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 53

54 Shfter (t Left/Rght) sr l out l n Shfter t L/R r n r out Shft Logcl Left Shft Logcl Rght Shft Arthmetc Left Shft Arthmetc Rght A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 54

55 Shfter (t Left/Rght) Posun nárního řádu (o t) l n r n sr Shfter t L/R r out l out sr = Shft Rght D sr l n r n l out r out A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 55

56 Shfter (t Left/Rght) sr l out l n?? ? ????? 8 9 r n sr l n r n l out sr + sr. = = sr. ln + sr. rn A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 56

57 Shfter (t Left/Rght) r out???? 3 2 r n???? sr l n 8 9 r out = sr + sr. A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 57

58 Shfter (t Left/Rght) Relzce sr l out l n r n r out A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 58

59 4t Shfter (t Left/Rght, Logcl/Arthmetc) sr sr = Shft Arthmetc sr = Shft Rght l out l n Shfter t L/R r n r out sr sr 3 2 l out Shfter t L/R Shfter t L/R Shfter t L/R Shfter t L/R r n l n r out 3 2 sr sr 4 3 l out Shfter 4t L/R r n l n r out 4 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 59

60 Brrel Shfter (4t, Shft Left/Rotte Left) Posun vlevo neo rotce vlevo o s tů (komnční ovod) Zde ukázk posunu vlevo, podoně vprvo (pozor vprvo se lší logcký rtmetcký posun) rol = Rotte Left rol = Shft Left Rotte Left rol s Brrel Shfter 4t SL/RL Shft Logcl Left Shft Arthmetc Left Posun o s tů s =,,2,3,4 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 6

61 Brrel Shfter (4t, Shft Left/Rotte Left) rol rol = rotte left MUX Q S MUX Q S MUX Q S MUX Q S MUX Q S MUX Q S MUX Q S MUX Q S 2 MUX Q S MUX Q S MUX Q S MUX Q S 2 3 MUX Q S MUX Q S MUX Q S MUX Q S 3 s s Johnson Encoder s 2 A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 6

62 Struktur rchtektur počítčů Čsování klopných ovodů Logcké komnční ovod (lok) používné v číslcovém počítč KONEC České vsoké učení techncké Fkult elektrotechncká A7B4SAP Struktur rchtektur počítčů 4 Logcké lok 62

Logické obvody Kombinační a sekvenční stavební bloky

Logické obvody Kombinační a sekvenční stavební bloky MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Část důležtá něco jen pro zájemce (Označeno???) Logcké obvody Kombnační a sekvenční stavební bloky České vysoké učení techncké Fakulta

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a archtektura počítačů Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká Ver..2 J. Zděnek 24 Logcký sekvenční obvod Logcký

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu

Logické obvody - sekvenční Formy popisu, konečný automat Příklady návrhu MIKROPROCEORY PRO VÝKONOVÉ YTÉMY MIKROPROCEORY PRO VÝKONOVÉ YTÉMY Logcké obvody - sekvenční Formy popsu, konečný automat Příklady návrhu České vysoké učení techncké Fakulta elektrotechncká AB4MI Mkroprocesory

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchtektur počítčů Číselé soustvy Převody me soustvm, kódy Artmetcké operce České vysoké učeí techcké Fkult elektrotechcká Ver J Zděek 3 Polydcké číselé soustvy (počí) Hodot čísl v soustvě se ákldem

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktur rchitektur očítčů Logické ovody - kominční Booleov lger, ormy oisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická Ver.. J. Zděnek/M. Chomát Logický kominční ovod Logický kominční

Více

Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu

Logické obvody - kombinační Booleova algebra, formy popisu Příklady návrhu MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Logické ovody - kominční Booleov lger, ormy popisu Příkldy návrhu České vysoké učení technické Fkult elektrotechnická ABMIS Mikroprocesory

Více

Struktura a architektura počítačů (BI-SAP) 3

Struktura a architektura počítačů (BI-SAP) 3 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 3 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody

Logické obvody. Logický obvod. Rozdělení logických obvodů - Kombinační logické obvody. - Sekvenční logické obvody Logické ovody Cílem této kpitoly je sezn{mit se s logickými ovody, se z{kldním rozdělením logických ovodů, s jejich některými typy. Tké se nučíme nvrhovt logické ovody. Klíčové pojmy: Logický ovod,kominční

Více

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor

Návrh základních kombinačních obvodů: dekodér, enkodér, multiplexor, demultiplexor Předmět Ústv Úloh č. 2 BDIO - Digitální obvody Ústv mikroelektroniky Návrh zákldních kombinčních obvodů: dekodér, enkodér, multiplexor, demultiplexor Student Cíle Porozumění logickým obvodům typu dekodér,

Více

Struktura a architektura počítačů

Struktura a architektura počítačů Struktura a architktura počítačů Logické skvnční obvody (bloky) a budič používané v číslicovém počítači Čské vysoké uční tchnické Fakulta lktrotchnická Vr..3 J. Zděnk / M. Chomát 24 st d in d d d 2 d 3

Více

Realizace základních matematických operací v počítači

Realizace základních matematických operací v počítači Relzce zákldních mtemtckých opercí v počítč Nedílnou součástí výuky HW SW vyvení počítčů n nší škole je znlost práce rtmetcké jednotky. Jk známo, počítče relzují rtmetcké operce v nární soustvě. Ay HW

Více

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení.

Půjdu do kina Bude pršet Zajímavý film. Jedině poslední řádek tabulky vyhovuje splnění podmínky úvodního tvrzení. 4. Booleov lger Booleov lger yl nvržen v polovině 9. století mtemtikem Georgem Boolem, tehdy nikoliv k návrhu digitálníh ovodů, nýrž jko mtemtikou disiplínu k formuli logikého myšlení. Jko příkld použijeme

Více

H - Řízení technologického procesu logickými obvody

H - Řízení technologického procesu logickými obvody H - Řízní tchnologického procsu logickými ovody (Logické řízní) Tortický úvod Součástí řízní tchnologických procsů j i zjištění správné posloupnosti úkonů tchnologických oprcí rozhodování o dlším postupu

Více

Vícebytová celočíselná aritmetika

Vícebytová celočíselná aritmetika IMTEE 7 / 8 Přednášk č. 7 Vícebytová celočíselná ritmetik = bitová šířk zprcovávných dt > než šířk slov PU npř.: 8 b PU zprcovává b dt dále teoretické příkldy: b PU zprcovává 6 b slov Uložení dt v pměti

Více

Příklady popisu základních obvodů ve VHDL

Příklady popisu základních obvodů ve VHDL Příklady popisu základních obvodů ve VHDL INP - cvičení 2 Michal Bidlo, 2008 bidlom@fit.vutbr.cz entity Circuit is port ( -- rozhraní obvodu ); end Circuit; Proces architecture Behavioral of Circuit is

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Základní invertor v technologii CMOS dva tranzistory: T1 vodivostní kanál typ N T2 vodivostní kanál typ P při u VST = H nebo L je klidový proud velmi malý

Více

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

VY_32_INOVACE_CTE-2.MA-15_Sčítačky (poloviční; úplná) Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Číslo projektu Číslo mteriálu Z..07/.5.00/34.058 VY_32_INOVAE_TE-2.MA5_čítčky (poloviční; úplná) Název školy Autor Temtická olst Ročník třední odorná škol třední odorné učiliště, Duno Ing. Miroslv Krýdl

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics Digitální

Více

Architektura počítačů Logické obvody

Architektura počítačů Logické obvody Architektura počítačů Logické obvody http://d3s.mff.cuni.cz/teaching/computer_architecture/ Lubomír Bulej bulej@d3s.mff.cuni.cz CHARLES UNIVERSITY IN PRAGUE faculty of mathematics and physics 2/36 Digitální

Více

P2 Číselné soustavy, jejich převody a operace v čís. soustavách

P2 Číselné soustavy, jejich převody a operace v čís. soustavách P Číselné soustvy, jejich převody operce v čís. soustvách. Zobrzení čísl v libovolné číselné soustvě Lidé využívjí ve svém životě pro zápis čísel desítkovou soustvu. V této soustvě máme pro zápis čísel

Více

LOGICKÉ OBVODY J I Ř Í K A L O U S E K

LOGICKÉ OBVODY J I Ř Í K A L O U S E K LOGICKÉ OBVODY J I Ř Í K A L O U S E K Ostrava 2006 Obsah předmětu 1. ČÍSELNÉ SOUSTAVY... 2 1.1. Číselné soustavy - úvod... 2 1.2. Rozdělení číselných soustav... 2 1.3. Polyadcké číselné soustavy... 2

Více

Univerzita Tomáše Bati ve Zlíně

Univerzita Tomáše Bati ve Zlíně nvert Tomáše Bt ve Zlíně LBOTONÍ CČENÍ ELEKTOTECHNKY PŮMYSLOÉ ELEKTONKY Náev úlohy: Metody řešení stejnosměrných elektrckých ovodů v ustáleném stvu Zprcovl: Petr Lur, Josef Morvčík Skupn: T / Dtum měření:

Více

Registry a čítače část 2

Registry a čítače část 2 Registry a čítače část 2 Vypracoval SOU Ohradní Vladimír Jelínek Aktualizace září 2012 Úvod Registry a čítače jsou častým stavebním blokem v číslicových systémech. Jsou založeny na funkci synchronních

Více

ARITMETICKOLOGICKÁ JEDNOTKA

ARITMETICKOLOGICKÁ JEDNOTKA Vyšší odborná škola a Střední průmyslová škola elektrotechncká Božetěchova 3, Olomouc Třída : M4 Školní rok : 2000 / 2001 ARITMETICKOLOGICKÁ JEDNOTKA III. Praktcká úloha z předmětu elektroncké počítače

Více

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač

Y36SAP 2007 Y36SAP-4. Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač Y36SAP 27 Y36SAP-4 Logické obvody kombinační a sekvenční používané v číslicovém počítači Sčítačka, půlsčítačka, registr, čítač 27-Kubátová Y36SAP-Logické obvody typické Často používané funkce Majorita:

Více

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická

MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY. Opakování. České vysoké učení technické Fakulta elektrotechnická MIKROPROCESORY PRO VÝKONOVÉ SYSTÉMY Opakování České vysoké učení technické Fakulta elektrotechnická AB4MIS Mikroprocesory pro výkonové systémy Ver..4 J. Zděnek, 27 MOS transistory jako elektrické spínače

Více

Struktura a architektura počítačů (BI-SAP) 4

Struktura a architektura počítačů (BI-SAP) 4 Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Struktura a architektura počítačů (BI-SAP) 4 doc. Ing. Hana Kubátová, CSc. Katedra číslicového návrhu Fakulta informačních technologii

Více

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové.

Čísla a aritmetika. Řádová čárka = místo, které odděluje celou část čísla od zlomkové. Příprava na cvčení č.1 Čísla a artmetka Číselné soustavy Obraz čísla A v soustavě o základu z: m A ( Z ) a z (1) n kde: a je symbol (číslce) z je základ m je počet řádových míst, na kterých má základ kladný

Více

Ě ž ž ď ž ž ó ž Š ú ó ž ť Ť Š ó Ě ž š Ž ž ú š ď ů š ů ú š ú š ů š ó šú ú ú ď ó ú ž ú ú š ž š É š ů ú ó ú Ž š ů Ž ů ž ů ů š ů š ž š š Ť ž ú ť ž ů ž ŽŽ ú ž ž ž Ž Ť Ťú Ž Ě š ž ú ž Ž š ú Ť Ž ď ů ž ú ú Ý Ú

Více

Evropská unie Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti

Evropská unie Evropský sociální fond Praha & EU: Investujeme do vaší budoucnosti Evropská unie Evropský soiální fon Prh & EU: Investujeme o vší uounosti ávrh čítče jko utomtu Osh ÁVRH ČÍAČE JAKO AUOMAU.... SYCHROÍ A ASYCHROÍ AUOMA..... Výstupy utomtu mohou ýt přímo ity pměti stvu.....

Více

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru

3 Algebraické výrazy. 3.1 Mnohočleny Mnohočleny jsou zvláštním případem výrazů. Mnohočlen (polynom) proměnné je výraz tvaru Algerické výrz V knize přírod může číst jen ten, kdo zná jzk, ve kterém je npsán. Jejím jzkem je mtemtik jejím písmem jsou mtemtické vzorce. (Glileo Glilei) Algerickým výrzem rozumíme zápis, ve kterém

Více

Návrh ovládání zdroje ATX

Návrh ovládání zdroje ATX Návrh ovládání zdroje ATX Zapínání a vypínání PC zdroj ATX se zapíná spojením řídicího signálu \PS_ON se zemí zapnutí PC stiskem tlačítka POWER vypnutí PC (hardwarové) stiskem tlačítka POWER a jeho podržením

Více

Výraz. podmínky (B) 1 (E) (A) 56 (B) 144 (C) 512 (D) 2 011 (E) Taková čísla neexistují. Počet všech přirozených čísel, která vyhovují

Výraz. podmínky (B) 1 (E) (A) 56 (B) 144 (C) 512 (D) 2 011 (E) Taková čísla neexistují. Počet všech přirozených čísel, která vyhovují . Posloupnost ( ) =, n+ = 3 =, n+ n = 3 3 =, n+ = = 3, n+ = n +. = = n+ 3, 3n + n je totožná s posloupností: n n n = Dvid hrje kždý všední den fotbl v sobotu i v neděli chodí do posilovny. Dnes se sportovně

Více

Pozorování obvykle kvalitativní charakter, popis stavu, popis změn, dlouhodobá zkušenost např. popis duhy, střídání dne a noci, koloběh vody.

Pozorování obvykle kvalitativní charakter, popis stavu, popis změn, dlouhodobá zkušenost např. popis duhy, střídání dne a noci, koloběh vody. . Měření Fzkální velčn Fzkální jednotk oustv I Jné soustv Měření - ch - zprcování výsledků měření - grf Pozorování ovkle kvlttvní chrkter, pops stvu, pops změn, dlouhodoá zkušenost npř. pops duh, střídání

Více

SEKVENČNÍ LOGICKÉ OBVODY

SEKVENČNÍ LOGICKÉ OBVODY Sekvenční logický obvod je elektronický obvod složený z logických členů. Sekvenční obvod se skládá ze dvou částí kombinační a paměťové. Abychom mohli určit hodnotu výstupní proměnné, je potřeba u sekvenčních

Více

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1

Logické obvody 10. Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita Logické obvody - 10 hazardy 1 Logické obvody 10 Neúplné čítače Asynchronní čítače Hazardy v kombinačních obvodech Metastabilita 6.12.2007 Logické obvody - 10 hazardy 1 Neúplné čítače Návrh čítače M5 na tabuli v kódu binárním a Grayově

Více

UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR

UC485S. PŘEVODNÍK LINKY RS232 na RS485 nebo RS422 S GALVANICKÝM ODDĚLENÍM. Převodník UC485S RS232 RS485 RS422 K1. přepínače +8-12V GND GND TXD RXD DIR PŘEVODNÍK LINKY RS232 n RS485 neo RS422 S GALVANICKÝM ODDĚLENÍM 15 kv ESD Protected IEC-1000-4-2 Převodník přepínče RS232 RS485 RS422 K1 ' K2 +8-12V GND GND TXD RXD DIR PAPOUCH 1 + gnd Ppouch s.r.o. POPIS

Více

Automaty a gramatiky

Automaty a gramatiky 5 Automty grmtiky Romn Brták, KTIML rtk@ktiml.mff.cuni.cz http://ktiml.mff.cuni.cz/~rtk Co ylo minule Množinové operce s jzyky sjednocení, pr nik, rozdíl, dopln k uzv enost opercí (lgoritmus p evodu) et

Více

Á Á ň ň ť Í Ť ň Í ř ň ř ř ň Í Ť Ě ň Č Ť Á Í Á Ť Í Á Ď ř ř ň Í ť ť ň ň Ě Í ů Í Í ř Ě ř Ě Ť ň Ť Ý ň ň Ť ň ň ň ň Ě ť Í Á Ť Ť ň Ť ř ú ň Í Ť Í Ť ň Á ň Ž ď Ě ň Ě Í Ů ň Ť ň ň Í Ě Ť ň ř Í Ť Í ň ň Č Ť ť ň ň ř ň

Více

4. Elektronické logické členy. Elektronické obvody pro logické členy

4. Elektronické logické členy. Elektronické obvody pro logické členy 4. Elektronické logické členy Kombinační a sekvenční logické funkce a logické členy Elektronické obvody pro logické členy Polovodičové paměti 1 Kombinační logické obvody Způsoby zápisu logických funkcí:

Více

Automaty a gramatiky. Roman Barták, KTIML. Důkaz věty o isomorfismu reduktů. Věta o isomorfismu reduktů. Pro připomenutí

Automaty a gramatiky. Roman Barták, KTIML. Důkaz věty o isomorfismu reduktů. Věta o isomorfismu reduktů. Pro připomenutí 3 Automty grmtiky Romn Brták, KTIML rtk@ktimlmffcunicz http://ktimlmffcunicz/~rtk Pro připomenutí 2 Njít ekvivlentní stvy w X* δ*(p,w) F δ*(q,w) F Vyřdit nedosžitelné stvy 3 Sestrojit podílový utomt Automty

Více

Š ú ů ď Ó ú Ú Č Š ň É ú ú ú Č Š Ě Ý ů ť ť ú ň Č ů ť ů ů ť Ó ť ů Č ť Ř ň ů ň É ď ů ú ů Č ť ú Š ů ť ů ť ů ů ů ů ť ú ů ů ů ť ů Č ú Ú ů ď ť ť ť ť Á ů ú ů ň ť ď ů ť Ř Š ú ď Ú ť ú Ú ť É ů ů ť ů ů ť ť ú ú É ů

Více

Cíle. Teoretický úvod

Cíle. Teoretický úvod Předmět Ú Úloha č. 7 BIO - igitální obvody Ú mikroelektroniky Sekvenční logika návrh asynchronních a synchronních binárních čítačů, výhody a nevýhody, využití Student Cíle Funkce čítačů a použití v digitálních

Více

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha

Implementace čítačů v číslicových systémech 2 Jakub Šťastný ASICentrum, s.r.o. FPGA Laboratoř, Katedra teorie obvodů FEL ČVUT Praha Tento článek je původním rukopisem textu publikovaného v časopise DPS Elektronika A-Z: J. Šťastný. Implementace čítačů v číslicových systémech 2, DPS Plošné spoje od A do Z, no 4, pp. 11-14, 2011. Bez

Více

Lomené výrazy (sčítání, odčítání, násobení, dělení, rozšiřování, krácení,.)

Lomené výrazy (sčítání, odčítání, násobení, dělení, rozšiřování, krácení,.) Lomené výrz (čítání, odčítání, náoení, dělení, rozšiřování, kráení, ) Lomené výrz jo výrz ve tvr zlomk, v jehož jmenovteli je proměnná, npříkld r ( ) ( ) 9 Počítání lomenými výrz má podoné vltnoti jko

Více

4.4.1 Sinová věta. Předpoklady: Trigonometrie: řešení úloh o trojúhelnících.

4.4.1 Sinová věta. Předpoklady: Trigonometrie: řešení úloh o trojúhelnících. 4.4. Sinová vět Předpokldy Trigonometrie řešení úloh o trojúhelnííh. Prktiké využití změřování měření vzdáleností, tringulční síť Tringulční síť je prolém měřit vzdálenosti dvou odů v krjině změříme velmi

Více

VYNUCENÉ TORSNÍ KMITÁNÍ KLIKOVÝCH HŘÍDELŮ

VYNUCENÉ TORSNÍ KMITÁNÍ KLIKOVÝCH HŘÍDELŮ VYNUCENÉ TORSNÍ KITÁNÍ KLIKOVÝCH HŘÍDELŮ Vlstní torsní kmtání po čse vymí vlvem tlumení, není smo o sobě nebepečné. Perodcký proměnný kroutící moment v jednotlvých lomeních vybudí vynucené kmtání, které

Více

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA

Zavedení a vlastnosti reálných čísel PŘIROZENÁ, CELÁ A RACIONÁLNÍ ČÍSLA Zvedení vlstnosti reálných čísel Reálná čísl jsou zákldním kmenem mtemtické nlýzy. Konstrukce reálných čísel sice není náplní mtemtické nlýzy, le množin reálných čísel R je pro mtemtickou nlýzu zákldním

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Obvody s třístavovým výstupem dva tranzistory: vodivostní kanál typ N vodivostní kanál typ P X CS 3 stavový sa výstup Y P logika X 3 stavový výstup W N CS

Více

Varianty snímačů. průmyslová elektronika. K limitnímu snímání hladiny elektricky vodivých i nevodivých kapalin

Varianty snímačů. průmyslová elektronika. K limitnímu snímání hladiny elektricky vodivých i nevodivých kapalin průmyslová elektronik Kpitní hldinové snímče CLS 23 K limitnímu snímání hldiny elektriky vodivýh i nevodivýh kplin Miniturní provedení pro přímou montáž do nádrží, jímek, truek Jednoduhé nstvení pomoí

Více

Číselné vyjádření hodnoty. Kolik váží hrouda zlata?

Číselné vyjádření hodnoty. Kolik váží hrouda zlata? Čísla a logika Číselné vyjádření hodnoty Au Kolik váží hrouda zlata? Dekadické vážení Když přidám osmé závaží g, váha se převáží => závaží zase odeberu a začnu přidávat závaží x menší 7 závaží g 2 závaží

Více

ASYNCHRONNÍ ČÍTAČE Použité zdroje:

ASYNCHRONNÍ ČÍTAČE Použité zdroje: ASYNCHRONNÍ ČÍTAČE Použité zdroje: Antošová, A., Davídek, V.: Číslicová technika, KOPP, České Budějovice 2007 http://www.edunet.souepl.cz www.sse-lipniknb.cz http://www.dmaster.wz.cz www.spszl.cz http://mikroelektro.utb.cz

Více

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2

Koncept pokročilého návrhu ve VHDL. INP - cvičení 2 Koncept pokročilého návrhu ve VHDL INP - cvičení 2 architecture behv of Cnt is process (CLK,RST,CE) variable value: std_logic_vector(3 downto 0 if (RST = '1') then value := (others => '0' elsif (CLK'event

Více

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru

Procesor. Základní prvky procesoru Instrukční sada Metody zvýšení výkonu procesoru Počítačové systémy Procesor Miroslav Flídr Počítačové systémy LS 2006-1/17- Západočeská univerzita v Plzni Víceúrovňová organizace počítače Digital logic level Microarchitecture level Processor Instruction

Více

š ž é é Č é ě é ě ž Í ž é š ň é ž š ú ě ž ú é ě é Ó ž ě ě ý ý é š é ú ě š ě ú ň Ť ý ý ý ýš ý ý ě ý ýš š ě é ě ň ý ý ě ý š ě ý ě ý ě ě é ě ý ý ě é ě ď ě ý ý ě Ť ě ě ý ý ě ý ě ý ě Í ě ý ž ž é ě ý ě Í ý ě

Více

Způsoby realizace této funkce:

Způsoby realizace této funkce: KOMBINAČNÍ LOGICKÉ OBVODY U těchto obvodů je výstup určen jen výhradně kombinací vstupních veličin. Hodnoty výstupních veličin nezávisejí na předcházejícím stavu logického obvodu, což znamená, že kombinační

Více

Operace ALU. INP 2008 FIT VUT v Brně

Operace ALU. INP 2008 FIT VUT v Brně Operace ALU INP 2008 FIT VUT v Brně 1 Princip ALU (FX) Požadavky: Logické operace Sčítání (v doplňkovém kódu) Posuvy/rotace Násobení ělení B A not AN OR XOR + Y 1) Implementace logických operací je zřejmá

Více

Ž ř ú ř ř ř Šř ř ř ú ň Ž Ž ů ú ů šř ů ú ů ř ř Ž ř ř Č ř ř ř Č šř ů Ú Ř Ú ů ř ú ů š šř ř š ú š ř ř š š ř ř ú Ž Š ů š ř š ř Ž ů ú ů Ú Ž ř ú ř Ú ú šř ů š ů Ž Ž ř ů Ž Ú ů Ž ř ř ř ť ů ň ř ů Á ř ň ř ů Ř ú ó

Více

Obr. DI-1. K principu reverzibility (obrácení chodu paprsků).

Obr. DI-1. K principu reverzibility (obrácení chodu paprsků). Učebí text k předášce UFY8 Dvojvzková tererece teké vrtvě Dvojvzková tererece teké vrtvě Předpokládejme, vl o mpltudě dvou delektrk tk, že mpltud održeé vly bude o dexu lomu bude t (vz obr. DI-1). v protředí

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Klopné obvody jsou nejjednodušší sekvenční součástky Záleží na předcházejícím stavu Asynchronní klopné obvody reagují na změny vstupu okamžitě Synchronní

Více

Technická dokumentace Ing. Lukáš Procházka

Technická dokumentace Ing. Lukáš Procházka Tehniká dokumente ng Lukáš Proházk Tém: hlvní část dokumentu, orázky, tulky grfy 1) Osh hlvní části dokumentu ) Orázky, tulky grfy ) Vzore rovnie Hlvní část dokumentu Hlvní část dokumentu je řzen v následujíím

Více

( ) 2 2 2 ( ) 3 3 2 2 3. Výrazy Výraz je druh matematického zápisu, který obsahuje konstanty, proměnné, symboly matematických operací, závorky.

( ) 2 2 2 ( ) 3 3 2 2 3. Výrazy Výraz je druh matematického zápisu, který obsahuje konstanty, proměnné, symboly matematických operací, závorky. Výrzy Výrz je druh mtemtického zápisu, který obshuje konstnty, proměnné, symboly mtemtických opercí, závorky. Příkldy výrzů: + výrz obshuje pouze konstnty číselný výrz x výrz obshuje konstntu ( proměnnou

Více

B. Sčítání,odčítání adoplňkovýkód

B. Sčítání,odčítání adoplňkovýkód B. Sčítání,odčítání adoplňkovýkód číselné soustavy a řádová mřížka sčítání a odčítání racionálních a celých čísel úplná a poloviční sčítačka sčítačka s postupným šířením přenosu a s predikcí přenosů sčítání

Více

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D.

Digitální obvody. Doc. Ing. Lukáš Fujcik, Ph.D. Digitální obvody Doc. Ing. Lukáš Fujcik, Ph.D. Realizace kombinačních logických funkcí Realizace kombinační logické funkce = sestavení zapojení obvodu, který ze vstupních proměnných vytvoří výstupní proměnné

Více

Měřící transformátory proudu

Měřící transformátory proudu Měřií trnsformátory očníky Měříí trnsformátory proudu www.irutor.om Měřií trnsformátory očníky Měříí trnsformátory proudu Měříí trnsformátory proudu jsou používány k převedení vysokého jmenovitého proudu

Více

KVADRATICKÁ FUNKCE (vlastnosti, grafy)

KVADRATICKÁ FUNKCE (vlastnosti, grafy) KVADRATICKÁ FUNKCE (vlstnosti, gr) Teorie Kvdrtikou unkí se nzývá kždá unke dná předpisem ; R,, R; D( ) je proměnná z příslušného deiničního ooru unke (nejčstěji množin R),, jsou koeiient kvdrtiké unke,

Více

return n; 3/29 Ing. Miroslav Balík, Ph.D. - BI-PA1-05 if (n<1) { printf("%d neni prirozene cislo\n", n); exit(0); }

return n; 3/29 Ing. Miroslav Balík, Ph.D. - BI-PA1-05 if (n<1) { printf(%d neni prirozene cislo\n, n); exit(0); } 1 Příprv studijního prormu Informtik je podporován projektem finncovným z Evropského sociálního fondu rozpočtu hlvního měst Prhy. Prh & EU: Investujeme do vší budoucnosti Funkce, intuitivní chápání složitosti

Více

ó Šú ž ó ó ó É Ž É Š Ž Š ú ů ó š Š Š Ž ó Š Ž ú ů Š Ž ň š ů É Ž š Ž ó Ž ů ň š š ů š Ú ů Š Ž ž ó Ž ů ú É Ú š É Ť ú ů Š Ž Š š Ť É Š Š Ž Ž Š Š ť ť ť Ž É Š Š Š Ž š Š Ž Ž Ů Š š Ž Ý Ý Š Ž Š Ž Ť Ž É Ý Š Š Ž š

Více

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium

Vysoká škola báňská - Technická univerzita Ostrava Fakulta elektrotechniky a informatiky LOGICKÉ OBVODY pro kombinované a distanční studium Vysoká škola báňská - Techncká unverzta Ostrava Fakulta elektrotechnky a nformatky LOGICKÉ OBVODY pro kombnované a dstanční studum Zdeněk Dvš Zdeňka Chmelíková Iva Petříková Ostrava ZDENĚK DIVIŠ, ZDEŇKA

Více

URČITÝ INTEGRÁL FUNKCE

URČITÝ INTEGRÁL FUNKCE URČITÝ INTEGRÁL FUNKCE Formulce: Nším cílem je určit přibližnou hodnotu určitého integrálu I() = () d, kde předpokládáme, že unkce je n intervlu, b integrovtelná. Poznámk: Geometrický význm integrálu I()

Více

SINEAX C 402 Hlásič mezních hodnot

SINEAX C 402 Hlásič mezních hodnot pro stejnosměrné proudy neo stejnosměrná npětí Použití SINEAX C 402 (or. 1) se používá především k sledování mezních hodnot při měřeních s proudovými neo npěťovými signály. Signlizce se přitom provádí

Více

V předchozích kapitolách byla popsána inverzní operace k derivování. Zatím nebylo jasné, k čemu tento nástroj slouží.

V předchozích kapitolách byla popsána inverzní operace k derivování. Zatím nebylo jasné, k čemu tento nástroj slouží. NEWTONŮV INTEGRÁL V předchozích kpitolách byl popsán inverzní operce k derivování Ztím nebylo jsné, k čemu tento nástroj slouží Uvžujme trmvj, která je poháněn elektřinou při brždění vyrábí dynmem elektřinu:

Více

2.9.11 Logaritmus. Předpoklady: 2909

2.9.11 Logaritmus. Předpoklady: 2909 .9. Logritmus Předpokld: 909 Pedgogická poznámk: Následující příkld vždují tk jeden půl vučovcí hodin. V přípdě potřeb všk stčí dojít k příkldu 6 zbtek jen ukázt, což se dá z jednu hodinu stihnout (nedoporučuji).

Více

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17

DIGITÁLNÍ UČEBNÍ MATERIÁL. Název školy SOUpotravinářské, Jílové u Prahy, Šenflukova 220. Název materiálu VY_32_INOVACE / Matematika / 03/01 / 17 DIGITÁLNÍ UČEBNÍ MATERIÁL Číslo projektu CZ07/500/4076 Název školy SOUpotrvinářské, Jílové u Prhy, Šenflukov 0 Název mteriálu VY INOVACE / Mtemtik / 0/0 / 7 Autor Ing Antonín Kučer Oor; předmět, ročník

Více

R n výběr reprezentantů. Řekneme, že funkce f je Riemannovsky integrovatelná na

R n výběr reprezentantů. Řekneme, že funkce f je Riemannovsky integrovatelná na Mtemtik II. Určitý integrál.1. Pojem Riemnnov určitého integrálu Definice.1.1. Říkáme, že funkce f( x ) je n intervlu integrovtelná (schopná integrce), je-li n něm ohrničená spoň po částech spojitá.

Více

Doc. Ing. Vlastimil Jáneš, CSc., K620

Doc. Ing. Vlastimil Jáneš, CSc., K620 Hrdwre počítčů Doc. Ing. Vlstimil Jáneš, CSc., K620 e-mil: jnes@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Ing. Vít Fáber, K614 e-mil: fber@fd.cvut.cz K508, 5. ptro, lbortoř, 2 2435 9555 Informce mteriály

Více

a a Posloupnost ( ) je totožná s posloupností: (A) 9 (B) 17 (C) 21 (D) 34 (E) 64 (B) (C) (E)

a a Posloupnost ( ) je totožná s posloupností: (A) 9 (B) 17 (C) 21 (D) 34 (E) 64 (B) (C) (E) . Když c + d + bc + bd = 68 c+ d = 4, je + b+ c+ d rovno: 9 7 34 64 4. Posloupnost ( ) =, n+ = 3 =, n+ n = 3 3 =, n+ = = 3, n+ = n + 3n + n je totožná s posloupností: n n =. n+ = 3, = n Povrch rotčního

Více

Až dosud jsme se zabývali většinou reálnými posloupnostmi, tedy zobrazeními s definičním

Až dosud jsme se zabývali většinou reálnými posloupnostmi, tedy zobrazeními s definičním Limit funkce. Zákldní pojmy Až dosud jsme se zbývli většinou reálnými posloupnostmi, tedy zobrzeními s definičním oborem N. Nyní obrátíme svou pozornost n širší třídu zobrzení. Definice.. Zobrzení f, jehož

Více

ŘEŠENÍ JEDNODUCHÝCH LOGARITMICKÝCH ROVNIC. Řešme na množině reálných čísel rovnice: log 5. 3 log x. log

ŘEŠENÍ JEDNODUCHÝCH LOGARITMICKÝCH ROVNIC. Řešme na množině reálných čísel rovnice: log 5. 3 log x. log Řešme n množině reálných čísel rovnice: ) 6 b) 8 d) e) c) f) ŘEŠENÍ JEDNODUCHÝCH LOGARITMICKÝCH ROVNIC Co budeme potřebovt? Chápt definici ritmu. Znát průběh ritmické funkce. Znát jednoduché vět o počítání

Více

( ) ( ) Sinová věta II. β je úhel z intervalu ( 0;π ). Jak je vidět z jednotkové kružnice, úhly, pro které platí. Předpoklady:

( ) ( ) Sinová věta II. β je úhel z intervalu ( 0;π ). Jak je vidět z jednotkové kružnice, úhly, pro které platí. Předpoklady: 4.4. Sinová vět II Předpokldy 44 Kde se stl hy? Námi nlezené řešení je správné, le nenšli jsme druhé hy ve hvíli, kdy jsme z hodnoty sin β určovli úhel β. β je úhel z intervlu ( ;π ). Jk je vidět z jednotkové

Více

Sekvenční logické obvody

Sekvenční logické obvody Název a adresa školy: Střední škola průmyslová a umělecká, Opava, příspěvková organizace, Praskova 399/8, Opava, 746 01 Název operačního programu: OP Vzdělávání pro konkurenceschopnost, oblast podpory

Více

ů š š ů Ú ů š É š š ů ť É Ž ů Í ó ň š š É Ú š Ů Ž Í š ů ňš Í ů ů š Š Š ó ů Í Ž Č š š š Č Č š Ů Í Í Í Í š š š Ž Ů š Š ů Ů Í Š Š š Č Ž ů Ž š Ú ó É Ž É Ú Ž Í š Í Ú ů Ú š Ú š Ú ů Ž Ú ů Ž š š š ů Í Ů š Ů Ú

Více

Stránka: Tabulky: Náplň a úkoly pro 5. cvičení z předměty ZIT. Hardware

Stránka: Tabulky: Náplň a úkoly pro 5. cvičení z předměty ZIT. Hardware Náplň úkol pro 5. včení z předmět. Stránk:. Velkost přesně 0 0 m, orente n šířku. Zrdlové okrje: vntřní 5 m, vnější m, nhoře.5 m, dole.5 m. Záhlví Tmes New Romn, 9., npsáno kurzívou, černé ohrnčení šedé

Více

Zobrazovací jednotky

Zobrazovací jednotky 1 Základní dělení Podle principu zobrazování: S katodovou obrazovkou, LCD, Plazmové, Elektroluminiscenční, Elektrochemické,... Podle způsobu činnosti: Rastrové, Vektorové. 2 Katodová obrazovka CRT Cathode

Více

Sekvenční logické obvody

Sekvenční logické obvody Sekvenční logické obvody Sekvenční logické obvody - úvod Sledujme chování jednoduchého logického obvodu se zpětnou vazbou Sekvenční obvody - paměťové členy, klopné obvody flip-flop Asynchronní klopné obvody

Více

Návrh. číslicových obvodů

Návrh. číslicových obvodů Návrh číslicových obvodů SW Aritmetika HW Periférie CPU function AddSub(a,b,s); var c; a b k k a+b mpx c if (s==1) c=a+b; else c=a-b; a-b return c; End; PAMĚŤ s Princip: univerzální stroj Výhoda: univerzalita

Více

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP

Kódy pro odstranění redundance, pro zabezpečení proti chybám. Demonstrační cvičení 5 INP Kódy pro odstranění redundance, pro zabezpečení proti chybám Demonstrační cvičení 5 INP Princip kódování, pojmy Tady potřebujeme informaci zabezpečit, utajit apod. zpráva 000 111 000 0 1 0... kodér dekodér

Více

PLANETOVÉ PŘEVODY. Pomůcka do cvičení z předmětu Mobilní energetické prostředky Doc.Ing. Pavel Sedlák, CSc.

PLANETOVÉ PŘEVODY. Pomůcka do cvičení z předmětu Mobilní energetické prostředky Doc.Ing. Pavel Sedlák, CSc. PLANETOVÉ PŘEVODY Pomůck do cvičení předmětu Mobilní energetické prostředky Doc.Ing. Pvel Sedlák, CSc. Pro pochopení funkce plnetových převodů jejich kinemtiky je nutné se senámit se ákldy především kinemtikou

Více

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c

+ c. n x ( ) ( ) f x dx ln f x c ) a. x x. dx = cotgx + c. A x. A x A arctgx + A x A c ) INTEGRÁLNÍ POČET FUNKCE JEDNÉ PROMĚNNÉ ) Pojem neurčitého integrálu Je dán funkce Pltí všk tké F tk, y pltilo F ( ) f ( ) Zřejmě F ( ), protože pltí, 5,, oecně c, kde c je liovolná kon- stnt f ( ) nším

Více

Hyperbola, jejíž střed S je totožný s počátkem soustavy souřadnic a jejíž hlavní osa je totožná

Hyperbola, jejíž střed S je totožný s počátkem soustavy souřadnic a jejíž hlavní osa je totožná Hyperol Hyperol je množin odů, které mjí tu vlstnost, že solutní hodnot rozdílu jejich vzdáleností od dvou dných různých odů E, F je rovn kldné konstntě. Zkráceně: Hyperol = {X ; EX FX = }; kde symolem

Více

Číslicové obvody základní pojmy

Číslicové obvody základní pojmy Číslicové obvody základní pojmy V číslicové technice se pracuje s fyzikálními veličinami, které lze popsat při určité míře zjednodušení dvěma stavy. Logické stavy binární proměnné nabývají dvou stavů:

Více

SEP2 Sensor processor. Technická dokumentace

SEP2 Sensor processor. Technická dokumentace SEP2 Sensor processor Technická dokumentace EGMedical, s.r.o. Křenová 19, 602 00 Brno CZ www.strasil.net 2010 Obsah 1. Úvod...3 2. Zapojení zařízení...4 2.1. Připojení napájecího napětí...4 2.2. Připojení

Více

ř é Ů é ř ž ř é é ř ž ř Ů ř ř ř Ú é Í ř ř ř é Ž é Í ř é Ý ř ř é é é é ř ř ř é é ř é é ř é Ž ř Ý é ří ř Ř é ř ř Ž Ů ř ř ř Š Í ří ř ř řň é ř Ú řň é ř řň é ř Š ř ž é ř Ž ř Ž ř ř ř Ž Á Ž Ž Š ř ř ř ř ř é é

Více

VY_32_INOVACE_CTE_2.MA_19_Registry posuvné a kruhové. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl

VY_32_INOVACE_CTE_2.MA_19_Registry posuvné a kruhové. Střední odborná škola a Střední odborné učiliště, Dubno Ing. Miroslav Krýdl Číslo projektu Číslo materiálu CZ.1.07/1.5.00/34.0581 VY_32_INOVACE_CTE_2.MA_19_egistry posuvné a kruhové Název školy Autor Tematická oblast očník Střední odborná škola a Střední odborné učiliště, ubno

Více

Zadání příkladů. Zadání:

Zadání příkladů. Zadání: Zdání příkldů Zdání: ) Popšte oblst vužtí plánovných expermentů ) Uveďte krtér optmlt plánů ) Co sou Hdmrdov mtce ké mí vlstnost? ) Co sou. fktorové plán k e lze vužít? 5) Blok čtverce - oblst ech vužtí

Více

PRINCIP ZÁPISU AKORDU POMOCÍ AKORDOVÝCH ZNAČEK

PRINCIP ZÁPISU AKORDU POMOCÍ AKORDOVÝCH ZNAČEK Střed 15 Prosinec 2004 04:00 PRINIP ZÁPISU KORU POMOÍ KOROVÝH ZNČK Určitě už se vám stlo že jste nkoukli do zpěvníku chtěli zhrát nějkou olíenou píseň hned ve druhém tktu vás odrdil zápis typu 5 + /mj7/9

Více

Prostorové nároky... 35. Zatížení... 37 Velikost zatížení... 37 Směr zatížení... 37. Nesouosost... 40. Přesnost... 40. Otáčky... 42. Tichý chod...

Prostorové nároky... 35. Zatížení... 37 Velikost zatížení... 37 Směr zatížení... 37. Nesouosost... 40. Přesnost... 40. Otáčky... 42. Tichý chod... Vol typu ložisk Prostorové nároky... 35 Ztížení... 37 Velikost ztížení... 37 Směr ztížení... 37 Nesouosost... 40 Přesnost... 40 Otáčky... 42 Tichý chod... 42 Tuhost... 42 Axiální posuvnost... 43 Montáž

Více

Návrh čítače jako automatu

Návrh čítače jako automatu ávrh čítače jako automatu Domovská URL dokumentu: http://dce.felk.cvut.cz/lsy/cviceni/pdf/citacavrh.pdf Obsah ÁVRH ČÍTAČE JAO AUTOMATU.... SYCHROÍ A ASYCHROÍ AUTOMAT... 2.a. Výstupy automatu mohou být

Více